Search.setIndex({"alltitles": {"2023.09 Potassium Platform Upgrade": [[53, "potassium-platform-upgrade"]], " Architecture": [[64, "feature-architecture"], [66, "feature-architecture"]], " Developer Guide": [[64, "feature-developer-guide"]], " Installation Guide": [[65, "feature-installation-guide"]], " User Guide": [[66, "feature-user-guide"]], "": [[66, "tutorial-name"]], "@FBSuppressWarnings": [[1, "fbsuppresswarnings"]], "@SuppressWarnings": [[1, "suppresswarnings"]], "AAA": [[38, "aaa"]], "API Group 1": [[64, "api-group-1"]], "API Group 2": [[64, "api-group-2"]], "API Reference Documentation": [[17, "api-reference-documentation"], [64, "api-reference-documentation"]], "About Copyright and License": [[6, "about-copyright-and-license"]], "Active Committers": [[58, "active-committers"]], "Active/Backup Setup": [[26, "active-backup-setup"]], "Add a simple HelloWorld RPC API": [[10, "add-a-simple-helloworld-rpc-api"]], "Add to Common Distribution": [[58, "add-to-common-distribution"]], "Adding Autorelease staging repository to settings.xml": [[54, "adding-autorelease-staging-repository-to-settings-xml"]], "Adding JUnit to your Maven Project": [[22, "adding-junit-to-your-maven-project"]], "Adding a submodule": [[24, "adding-a-submodule"]], "Additional Resources": [[1, "additional-resources"]], "Administering or Managing ": [[66, "administering-or-managing-feature"]], "Administering or Managing odl-neutron-service": [[70, "administering-or-managing-odl-neutron-service"]], "Archetype versions\n :widths: auto\n :header-rows: 1": [[10, "id1"]], "Architecture": [[23, "architecture"]], "Archived Releases": [[25, "archived-releases"]], "Asserting Object structures": [[20, "asserting-object-structures"]], "Async": [[19, "async"]], "AugmentationIdentifer and AugmentationNode removed": [[53, "augmentationidentifer-and-augmentationnode-removed"]], "Autorelease": [[54, "autorelease"], [55, "autorelease"]], "Avoid calls to the methods is{Trace|Debug|Info|Warn|Error}Enabled()": [[15, "avoid-calls-to-the-methods-is-trace-debug-info-warn-error-enabled"]], "BGP Plugin": [[39, "bgp-plugin"]], "BGP-PCEP": [[39, "bgp-pcep"]], "Backing Up and Restoring the Datastore": [[32, "backing-up-and-restoring-the-datastore"]], "Bash/Python": [[0, "bash-python"]], "Behavior/Feature Changes": [[38, "behavior-feature-changes"], [39, "behavior-feature-changes"], [40, "behavior-feature-changes"], [41, "behavior-feature-changes"], [42, "behavior-feature-changes"], [43, "behavior-feature-changes"], [44, "behavior-feature-changes"], [45, "behavior-feature-changes"], [46, "behavior-feature-changes"], [47, "behavior-feature-changes"], [48, "behavior-feature-changes"], [49, "behavior-feature-changes"], [50, "behavior-feature-changes"], [51, "behavior-feature-changes"], [52, "behavior-feature-changes"]], "Binding Identifiable and Identifier renamed": [[53, "binding-identifiable-and-identifier-renamed"]], "BindingMapping moved": [[53, "bindingmapping-moved"]], "BindingReflections.getModuleInfo() has moved": [[53, "bindingreflections-getmoduleinfo-has-moved"]], "Branch Cutting": [[55, "branch-cutting"]], "Branch cut job (Autorelease)": [[55, "branch-cut-job-autorelease"]], "Build Time Testing with JUnit": [[22, "build-time-testing-with-junit"]], "Building an Example module": [[10, "building-an-example-module"]], "Builds on Read the Docs": [[24, "builds-on-read-the-docs"]], "C/C++/Java": [[0, "c-c-java"]], "CLM": [[58, "clm"]], "Calcium-SR0": [[25, "calcium-sr0"]], "Changes planned in Potassium release stream": [[51, "changes-planned-in-potassium-release-stream"]], "Check your change on Gerrit": [[9, "check-your-change-on-gerrit"]], "Checkpoints": [[58, "checkpoints"]], "Checkpoints Submitted On-Time": [[58, "checkpoints-submitted-on-time"]], "Checkstyle": [[1, "checkstyle"]], "Cherry-picks / back-ports": [[9, "cherry-picks-back-ports"]], "Classes methods / fields ordering": [[1, "classes-methods-fields-ordering"]], "Clear your tox directory and try again": [[24, "clear-your-tox-directory-and-try-again"]], "Cloning Autorelease": [[54, "cloning-autorelease"]], "Cluster Monitoring": [[26, "cluster-monitoring"]], "Clustering Overview": [[26, "clustering-overview"]], "Clustering Scripts": [[26, "clustering-scripts"]], "Code": [[19, "code"]], "Code Freeze": [[62, "code-freeze"], [63, "code-freeze"]], "Coding Guidelines": [[5, "coding-guidelines"]], "Coding Guidelines and common issues": [[9, "coding-guidelines-and-common-issues"]], "Coding Guidelines for Java": [[1, "coding-guidelines-for-java"]], "Coding Guidelines for Python": [[2, "coding-guidelines-for-python"]], "Coding Guidelines for XML": [[3, "coding-guidelines-for-xml"]], "Coding Guidelines for YANG": [[4, "coding-guidelines-for-yang"]], "Command Line": [[22, "command-line"]], "Commit message": [[9, "commit-message"]], "Common writing style mistakes": [[24, "common-writing-style-mistakes"]], "Component Tests": [[20, "component-tests"]], "Component Tests (with Guice)": [[19, "component-tests-with-guice"]], "Components": [[23, "components"]], "Concepts": [[23, "concepts"]], "Concurrency in Unit test": [[22, "concurrency-in-unit-test"]], "Configure Cluster Script": [[26, "configure-cluster-script"]], "Configuring ": [[66, "configuring-feature"]], "Configuring Neutron Service feature": [[70, "configuring-neutron-service-feature"]], "Configuring the environment": [[66, "configuring-the-environment"]], "Considerations on Tests": [[20, "considerations-on-tests"]], "Content Types": [[24, "content-types"]], "Contents": [[24, "contents"], [53, "contents"]], "Contributing to OpenDaylight": [[35, "contributing-to-opendaylight"]], "Contributor Guides": [[7, "contributor-guides"]], "Controller": [[40, "controller"]], "Controller Impacts": [[53, "controller-impacts"]], "Convenience model artifacts removed": [[53, "convenience-model-artifacts-removed"]], "Core features Developer Guides": [[13, "core-features-developer-guides"]], "Creating Autorelease - Release and RC build": [[54, "creating-autorelease-release-and-rc-build"]], "Creating your Test Class - Annotations": [[22, "creating-your-test-class-annotations"]], "Cut Stable Branch": [[58, "cut-stable-branch"]], "DEBUG": [[15, "debug"]], "Daexim": [[41, "daexim"]], "DataBroker": [[20, "databroker"]], "DataSchemaContextNode replaced with DataSchemaContext": [[53, "dataschemacontextnode-replaced-with-dataschemacontext"]], "Debugging a Test": [[22, "debugging-a-test"]], "Default annotation declarations removed": [[53, "default-annotation-declarations-removed"]], "Default config file": [[12, "default-config-file"], [67, "default-config-file"]], "Defining a simple HelloWorld RPC": [[10, "defining-a-simple-helloworld-rpc"]], "Depend only on Managed Projects": [[58, "depend-only-on-managed-projects"]], "Deployment Considerations": [[26, "deployment-considerations"]], "Deployment Recommendations": [[33, "deployment-recommendations"]], "Deprecated Features": [[39, "deprecated-features"], [41, "deprecated-features"], [42, "deprecated-features"], [44, "deprecated-features"], [45, "deprecated-features"], [46, "deprecated-features"], [48, "deprecated-features"], [49, "deprecated-features"], [50, "deprecated-features"], [51, "deprecated-features"], [52, "deprecated-features"]], "Deprecated and Removed Features": [[38, "deprecated-and-removed-features"], [40, "deprecated-and-removed-features"], [43, "deprecated-and-removed-features"], [47, "deprecated-and-removed-features"]], "Developer Guides": [[13, "developer-guides"]], "Developer Test Guides": [[21, "developer-test-guides"]], "Developing apps on the OpenDaylight controller": [[10, "developing-apps-on-the-opendaylight-controller"]], "Development": [[37, "development"]], "Directory Structure": [[24, "directory-structure"]], "Disabling the remote shutdown port": [[33, "disabling-the-remote-shutdown-port"]], "Distribution": [[42, "distribution"]], "Distribution Artifacts": [[42, "id1"]], "Distribution Version reporting": [[12, "distribution-version-reporting"], [67, "distribution-version-reporting"]], "Distribution features": [[11, "distribution-features"]], "Docker images": [[25, "docker-images"]], "Documentation": [[58, "documentation"]], "Documentation Guide": [[24, "documentation-guide"]], "Documentation Layout and Style": [[24, "documentation-layout-and-style"]], "Documentation post branch tasks": [[55, "documentation-post-branch-tasks"]], "Down all": [[26, "down-all"]], "Downloading and installing OpenDaylight": [[30, "downloading-and-installing-opendaylight"]], "ERROR": [[15, "error"]], "Eclipse": [[22, "eclipse"]], "Edited Files": [[6, "edited-files"]], "Enable Timely Releases": [[58, "enable-timely-releases"]], "Error in \u201ccode-block\u201d directive": [[24, "error-in-code-block-directive"]], "Errors from Coala": [[24, "errors-from-coala"]], "Everything @NonNullByDefault": [[1, "everything-nonnullbydefault"]], "Example Implementation": [[22, "example-implementation"]], "Exceptional cases": [[63, "exceptional-cases"]], "Execute the hello project for the first time": [[10, "execute-the-hello-project-for-the-first-time"]], "Execution": [[37, "execution"]], "Expected Output From Documentation Project": [[24, "expected-output-from-documentation-project"]], "ExtensibleObject has been reworked": [[53, "extensibleobject-has-been-reworked"]], "Extra Configuration Options": [[26, "extra-configuration-options"]], "Failure detection": [[26, "failure-detection"]], "Failure handling": [[26, "failure-handling"]], "Feature repositories": [[11, "feature-repositories"]], "Features": [[53, "features"]], "Features (for Karaf 3)": [[18, "features-for-karaf-3"]], "Features (for Karaf 4)": [[18, "features-for-karaf-4"]], "Files formatting": [[9, "files-formatting"]], "Final Checkpoint": [[58, "final-checkpoint"]], "FindBugs": [[1, "findbugs"]], "Finding the Managed Projects Given a Branch": [[56, "finding-the-managed-projects-given-a-branch"]], "Finding the Managed Projects given a Managed Distribution": [[56, "finding-the-managed-projects-given-a-managed-distribution"]], "Formatting Preferences": [[24, "formatting-preferences"]], "Further Reading & Watching": [[1, "further-reading-watching"]], "General Code Style": [[0, "general-code-style"]], "General Code headers": [[0, "general-code-headers"]], "Generic": [[13, "generic"], [21, "generic"]], "Generic Coding Guidelines": [[0, "generic-coding-guidelines"]], "Getting Started Guide": [[29, "getting-started-guide"]], "Getting Started with OpenDaylight": [[35, "getting-started-with-opendaylight"]], "Git Commit Message Errors": [[24, "git-commit-message-errors"]], "Git commit message style": [[0, "git-commit-message-style"]], "Grammar Preferences": [[24, "grammar-preferences"]], "Grievances": [[58, "grievances"]], "Guidelines and practical advice": [[9, "guidelines-and-practical-advice"]], "Healthy Community": [[58, "healthy-community"]], "How to / Tutorials": [[23, "how-to-tutorials"]], "How to Get Help": [[28, "how-to-get-help"]], "How to Write a SB Neutron Consumer": [[17, "how-to-write-a-sb-neutron-consumer"]], "How to add new API support": [[16, "how-to-add-new-api-support"]], "How to write transcriber": [[16, "how-to-write-transcriber"]], "INFO": [[15, "info"]], "Identifying Managed Projects in an OpenDaylight Version": [[56, "identifying-managed-projects-in-an-opendaylight-version"]], "IetfInetUtil.INSTANCE and IetfYangUtil.INSTANCE removed": [[53, "ietfinetutil-instance-and-ietfyangutil-instance-removed"]], "IllegalCatch": [[1, "illegalcatch"]], "IllegalThrows": [[1, "illegalthrows"]], "Implement the HelloWorld RPC API": [[10, "implement-the-helloworld-rpc-api"]], "Implicit rules": [[9, "implicit-rules"]], "Indirectly connected nodes": [[26, "indirectly-connected-nodes"]], "InfraUtils": [[43, "infrautils"]], "Initial Checkpoint": [[58, "initial-checkpoint"]], "Install Dependent Projects": [[53, "install-dependent-projects"]], "Install OpenDaylight": [[30, "install-opendaylight"]], "Install the Karaf features": [[30, "install-the-karaf-features"]], "Installing ": [[65, "installing-feature"]], "Installing Features from Self-Managed Projects": [[58, "installing-features-from-self-managed-projects"]], "Installing OpenDaylight": [[30, "installing-opendaylight"]], "Installing the feature": [[66, "installing-the-feature"]], "InstanceIdentifierBuilder renamed to InstanceIdentifier.Builder": [[53, "instanceidentifierbuilder-renamed-to-instanceidentifier-builder"]], "Instructions": [[66, "instructions"]], "Integrating Animal Sniffer with OpenDaylight projects": [[14, "integrating-animal-sniffer-with-opendaylight-projects"]], "Integration Tests": [[20, "integration-tests"]], "Introduction": [[19, "introduction"], [31, "introduction"]], "Introduction to JUnit": [[22, "introduction-to-junit"]], "Issues affecting versions 12.0.0 through 13.0.2 (JIRA)": [[52, "id2"]], "Issues affecting versions 7.0.0 through 7.0.4 (JIRA)": [[47, "id2"]], "Issues resolved in versions 0.19.0 through 0.19.3 (JIRA)": [[38, "id1"]], "Issues resolved in versions 12.0.0 through 13.0.2 (JIRA)": [[52, "id1"]], "Issues resolved in versions 13.0.0 through 13.0.1 (JIRA)": [[46, "id1"]], "Issues resolved in versions 7.0.0 through 7.0.4 (JIRA)": [[47, "id1"]], "Issues resolved in versions 9.0.0 through 9.0.2 (JIRA)": [[40, "id1"]], "Issues resolved in versions Calcium through Calcium (JIRA)": [[51, "id2"]], "JDK 17 Version": [[53, "jdk-17-version"]], "JJB (releng/builder)": [[55, "jjb-releng-builder"]], "JSON-RPC": [[44, "json-rpc"]], "JUnit with GuiceRule": [[19, "junit-with-guicerule"]], "Java API": [[12, "java-api"]], "Java API Documentation": [[36, "java-api-documentation"]], "Javadoc Paragraph: < p > tag should be preceded with an empty line": [[1, "javadoc-paragraph-p-tag-should-be-preceded-with-an-empty-line"]], "Jira Ticketing Guideline": [[8, "jira-ticketing-guideline"]], "Jobs Required for Managed Projects Running": [[58, "jobs-required-for-managed-projects-running"]], "Karaf Feature": [[12, "karaf-feature"]], "Karaf features": [[11, "karaf-features"]], "Karaf running on Windows 10": [[30, "karaf-running-on-windows-10"]], "Keep majority": [[26, "keep-majority"]], "Keep oldest": [[26, "keep-oldest"]], "Key APIs and Interfaces": [[12, "key-apis-and-interfaces"], [64, "key-apis-and-interfaces"]], "Key terms": [[24, "key-terms"]], "Known Issues": [[38, "known-issues"], [39, "known-issues"], [40, "known-issues"], [41, "known-issues"], [42, "known-issues"], [43, "known-issues"], [44, "known-issues"], [45, "known-issues"], [46, "known-issues"], [47, "known-issues"], [48, "known-issues"], [49, "known-issues"], [50, "known-issues"], [51, "known-issues"], [52, "known-issues"]], "LISP Flow Mapping": [[45, "lisp-flow-mapping"]], "Lease": [[26, "lease"]], "License issues": [[9, "license-issues"]], "List of states for projects in autorelease": [[59, "list-of-states-for-projects-in-autorelease"]], "Listing available features": [[30, "listing-available-features"]], "Logger instances": [[15, "logger-instances"]], "Logging in Java": [[15, "logging-in-java"]], "Logging subsystem": [[15, "logging-subsystem"]], "MD-SAL Impacts": [[53, "md-sal-impacts"]], "Make sure you have cloned submodules": [[24, "make-sure-you-have-cloned-submodules"]], "Managed Projects": [[35, "managed-projects"], [36, "managed-projects"], [58, "managed-projects"]], "Managed Projects Summary": [[58, "managed-projects-summary"]], "Managed Projects for Dependency Reasons": [[58, "managed-projects-for-dependency-reasons"]], "Managed Release": [[58, "managed-release"]], "Managed Release Checkpoints": [[58, "managed-release-checkpoints"]], "Managed Release Goals": [[58, "managed-release-goals"]], "Managed Release Integrated Checkpoints": [[58, "managed-release-integrated-checkpoints"]], "Managed Release Integrated Projects": [[58, "managed-release-integrated-projects"]], "Managed Release Integrated Release Process": [[58, "managed-release-integrated-release-process"]], "Managed Release Process": [[58, "managed-release-process"]], "Managed Release Summary": [[58, "managed-release-summary"]], "Mandatory Fields": [[8, "mandatory-fields"]], "Manual steps to branch cut (Autorelease)": [[55, "manual-steps-to-branch-cut-autorelease"]], "Manual steps to version bump (Autorelease)": [[55, "manual-steps-to-version-bump-autorelease"]], "Maven": [[19, "maven"]], "Message levels": [[15, "message-levels"]], "Midway Checkpoint": [[58, "midway-checkpoint"]], "Mocking": [[22, "mocking"]], "Mockito": [[20, "mockito"]], "Model-Driven Service Abstraction Layer (MD-SAL)": [[46, "model-driven-service-abstraction-layer-md-sal"]], "Modify several changes": [[9, "modify-several-changes"]], "Moving a Project from Managed to Self-Managed": [[58, "moving-a-project-from-managed-to-self-managed"]], "Moving a Project from Self-Managed to Managed": [[58, "moving-a-project-from-self-managed-to-managed"]], "Multi-DC cluster": [[26, "multi-dc-cluster"]], "Multiple Node Clustering": [[26, "multiple-node-clustering"]], "NETCONF": [[47, "netconf"]], "NETCONF User Guide": [[69, "netconf-user-guide"]], "Nested formatting does not work": [[24, "nested-formatting-does-not-work"]], "Neutron Logger": [[17, "neutron-logger"], [70, "neutron-logger"]], "Neutron Northbound": [[16, "neutron-northbound"]], "Neutron Service Architecture": [[17, "neutron-service-architecture"]], "Neutron Service Developer Guide": [[17, "neutron-service-developer-guide"]], "Neutron Service User Guide": [[70, "neutron-service-user-guide"]], "Neutron Service feature Architecture": [[70, "neutron-service-feature-architecture"]], "Neutron YANG models": [[17, "neutron-yang-models"]], "Neutron configuration": [[17, "neutron-configuration"]], "Neutron extension config": [[17, "neutron-extension-config"]], "New Features": [[38, "new-features"], [39, "new-features"], [40, "new-features"], [41, "new-features"], [42, "new-features"], [43, "new-features"], [44, "new-features"], [45, "new-features"], [46, "new-features"], [47, "new-features"], [48, "new-features"], [49, "new-features"], [50, "new-features"], [51, "new-features"], [52, "new-features"]], "New Files": [[6, "new-files"]], "Newcomers Guide": [[9, "newcomers-guide"]], "Normalized Nodes": [[23, "id4"]], "Notes for particular Checks": [[1, "notes-for-particular-checks"]], "ODL Parent Developer Guide": [[18, "odl-parent-developer-guide"]], "ODL Parent Impacts": [[53, "odl-parent-impacts"]], "OVSDB": [[49, "ovsdb"]], "Object Wiring Binding": [[19, "object-wiring-binding"]], "OpenDaylight Controller Overview": [[71, "opendaylight-controller-overview"]], "OpenDaylight Downloads": [[25, "opendaylight-downloads"]], "OpenDaylight Project Documentation": [[35, "opendaylight-project-documentation"]], "OpenDaylight Release Process Guide": [[57, "opendaylight-release-process-guide"]], "OpenDaylight Security Resources": [[33, "opendaylight-security-resources"]], "OpenDaylight User Guide": [[68, "opendaylight-user-guide"]], "OpenDaylight and common Best Practices": [[9, "opendaylight-and-common-best-practices"]], "OpenDaylight concepts and tools": [[27, "opendaylight-concepts-and-tools"]], "OpenFlow Plugin": [[48, "openflow-plugin"]], "OpenFlow-Based Applications": [[48, "id1"]], "Optional": [[1, "optional"]], "Other": [[19, "other"]], "Overview": [[10, "overview"], [11, "overview"], [12, "overview"], [17, "overview"], [18, "overview"], [23, "overview"], [26, "overview"], [38, "overview"], [39, "overview"], [40, "overview"], [41, "overview"], [42, "overview"], [43, "overview"], [44, "overview"], [45, "overview"], [46, "overview"], [47, "overview"], [48, "overview"], [49, "overview"], [50, "overview"], [51, "overview"], [52, "overview"], [57, "overview"], [64, "overview"], [65, "overview"], [66, "overview"], [66, "id1"], [67, "overview"], [68, "overview"], [70, "overview"]], "Overview of OpenDaylight Security": [[33, "overview-of-opendaylight-security"]], "PCEP Plugin": [[39, "pcep-plugin"]], "Parent POMs": [[18, "parent-poms"]], "Persistence and Backup": [[32, "persistence-and-backup"]], "Platform Release Notes": [[37, "platform-release-notes"]], "Post Installation Configuration": [[65, "post-installation-configuration"]], "Potassium-SR2": [[25, "potassium-sr2"]], "PowerMock": [[20, "powermock"]], "Pre-Requisites for Installing ": [[65, "pre-requisites-for-installing-feature"]], "Preparation": [[53, "preparation"]], "Preparing for Installation": [[65, "preparing-for-installation"]], "Preparing your project for release": [[60, "preparing-your-project-for-release"]], "Prerequisites": [[10, "prerequisites"], [66, "prerequisites"]], "Process for Reporting Unresponsive Projects": [[58, "process-for-reporting-unresponsive-projects"]], "Processes": [[57, "processes"]], "Project Documentation Requirements": [[24, "project-documentation-requirements"], [24, "id2"]], "Project Release Notes": [[37, "project-release-notes"]], "Project Standalone Release": [[60, "project-standalone-release"]], "Project life-cycle": [[59, "project-life-cycle"]], "Project-specific Developer Guides": [[13, "project-specific-developer-guides"]], "Project-specific User Guides": [[68, "project-specific-user-guides"]], "Provide useful event context": [[15, "provide-useful-event-context"]], "Qualities of a Good Unit Test": [[22, "qualities-of-a-good-unit-test"]], "RESTCONF usage": [[12, "restconf-usage"], [67, "restconf-usage"]], "RFC7952 and RFC8528 support integrated into yang-data": [[53, "rfc7952-and-rfc8528-support-integrated-into-yang-data"]], "Recommended Reading": [[20, "recommended-reading"]], "Reduce Overhead on Projects": [[58, "reduce-overhead-on-projects"]], "Reduce Overhead on Release Team": [[58, "reduce-overhead-on-release-team"]], "Referencing JIRA issues": [[24, "referencing-jira-issues"]], "Referencing Sections": [[24, "referencing-sections"]], "Release Integrated Projects": [[36, "release-integrated-projects"]], "Release Notes": [[37, "release-notes"]], "Release Planning": [[57, "release-planning"]], "Release Preparations": [[62, "release-preparations"]], "Release Schedule": [[61, "release-schedule"]], "Release the project artifacts": [[58, "release-the-project-artifacts"]], "Releasing OpenDaylight": [[62, "releasing-opendaylight"]], "Releasing your project": [[60, "releasing-your-project"]], "Removed Features": [[46, "removed-features"], [52, "removed-features"]], "Requirements for Managed Projects": [[58, "requirements-for-managed-projects"]], "Requirements for SM projects participating in the release distribution": [[58, "requirements-for-sm-projects-participating-in-the-release-distribution"]], "Requirements for projects": [[24, "requirements-for-projects"]], "Resolved Issues": [[38, "resolved-issues"], [39, "resolved-issues"], [40, "resolved-issues"], [41, "resolved-issues"], [42, "resolved-issues"], [43, "resolved-issues"], [44, "resolved-issues"], [45, "resolved-issues"], [46, "resolved-issues"], [47, "resolved-issues"], [48, "resolved-issues"], [49, "resolved-issues"], [50, "resolved-issues"], [51, "resolved-issues"], [52, "resolved-issues"]], "Resolving conflicts": [[9, "resolving-conflicts"]], "Responsiveness": [[58, "responsiveness"]], "Running a JUnit Test": [[22, "running-a-junit-test"]], "Running the karaf distribution": [[30, "running-the-karaf-distribution"]], "Runtime null checks": [[1, "runtime-null-checks"]], "Sample Config Files": [[26, "sample-config-files"]], "Securing OSGi bundles": [[33, "securing-osgi-bundles"]], "Securing OpenDaylight using AAA": [[33, "securing-opendaylight-using-aaa"]], "Securing RESTCONF using HTTPS": [[33, "securing-restconf-using-https"]], "Securing Southbound Plugins": [[33, "securing-southbound-plugins"]], "Securing the Karaf container": [[33, "securing-the-karaf-container"]], "Security Considerations": [[33, "security-considerations"]], "Security Considerations for Clustering": [[33, "security-considerations-for-clustering"]], "Self-Managed Projects": [[35, "self-managed-projects"], [58, "self-managed-projects"]], "Self-service": [[63, "self-service"]], "Serialization / deserialization of YANG data": [[23, "serialization-deserialization-of-yang-data"]], "Service Release Code Freeze": [[58, "service-release-code-freeze"]], "Service Release Notes": [[37, "service-release-notes"]], "ServiceUtils": [[50, "serviceutils"]], "Set Persistence Script": [[32, "set-persistence-script"]], "Setting Up Clustering": [[26, "setting-up-clustering"]], "Setting Up a Multiple Node Cluster": [[26, "setting-up-a-multiple-node-cluster"]], "Setting up the VM": [[66, "setting-up-the-vm"]], "Simultaneous Release": [[62, "simultaneous-release"]], "Split Brain Resolver": [[26, "split-brain-resolver"]], "SpotBugs": [[1, "spotbugs"]], "Static quorum": [[26, "static-quorum"]], "Steps to setup up animal sniffer plugin with your project": [[14, "steps-to-setup-up-animal-sniffer-plugin-with-your-project"]], "Streaming and lambdas": [[1, "streaming-and-lambdas"]], "Style Guide": [[24, "style-guide"]], "Submitting Documentation Outlines (M2)": [[24, "submitting-documentation-outlines-m2"]], "Submitting simultaneously several changes for review": [[9, "submitting-simultaneously-several-changes-for-review"]], "Suggested process (steps) to move a non-compliant project to enforcement": [[1, "suggested-process-steps-to-move-a-non-compliant-project-to-enforcement"]], "Super Committer Activities": [[63, "super-committer-activities"]], "Super Committers": [[63, "super-committers"], [63, "id1"]], "Supported Releases": [[25, "supported-releases"]], "Supporting Documentation": [[57, "supporting-documentation"]], "System.out": [[1, "system-out"]], "TRACE": [[15, "trace"]], "TSC Attendance": [[58, "tsc-attendance"]], "Target Environment": [[66, "target-environment"]], "Test Implementations of commonly used services": [[20, "test-implementations-of-commonly-used-services"]], "Test Name and Package": [[22, "test-name-and-package"]], "Test the hello-world RPC via REST": [[10, "test-the-hello-world-rpc-via-rest"]], "The semantics of the toMD() methods": [[16, "the-semantics-of-the-tomd-methods"]], "Timeline for Deliverables from Projects": [[24, "timeline-for-deliverables-from-projects"]], "Todo": [[59, "id1"]], "Transport PCE": [[51, "transport-pce"]], "Transport PCE implementation": [[51, "id1"]], "Troubleshooting": [[10, "troubleshooting"], [24, "troubleshooting"], [65, "troubleshooting"]], "Tutorial": [[19, "tutorial"]], "Tutorials": [[66, "tutorials"]], "Unchecked/unconfirmed cast from com.google.common.truth.Subject to com.google.common.truth.BooleanSubject etc.": [[1, "unchecked-unconfirmed-cast-from-com-google-common-truth-subject-to-com-google-common-truth-booleansubject-etc"]], "Uninstalling ": [[65, "uninstalling-feature"]], "Uninstalling features": [[30, "uninstalling-features"]], "Upgrade the ODL Parent": [[53, "upgrade-the-odl-parent"]], "Upgrading From a Previous Release": [[65, "upgrading-from-a-previous-release"]], "Upload a Change": [[9, "upload-a-change"]], "Upload a new Patch Set": [[9, "upload-a-new-patch-set"]], "Upstream declarations removed": [[53, "upstream-declarations-removed"]], "Use cases and who will use the feature": [[70, "use-cases-and-who-will-use-the-feature"]], "Use of SNAPSHOT versions": [[58, "use-of-snapshot-versions"]], "Use parameterized logging": [[15, "use-parameterized-logging"]], "Use \u201cnormal\u201d Java, with a hint of Mockito": [[20, "use-normal-java-with-a-hint-of-mockito"]], "UsesNode.getRefines() exposes only descendant paths": [[53, "usesnode-getrefines-exposes-only-descendant-paths"]], "Using a browser REST client": [[10, "using-a-browser-rest-client"]], "Using the API Explorer through HTTP": [[10, "using-the-api-explorer-through-http"]], "Utility classes with only static methods": [[1, "utility-classes-with-only-static-methods"]], "Validating Your Tests - Assertions": [[22, "validating-your-tests-assertions"]], "Verifying your Installation": [[65, "verifying-your-installation"]], "Version Bump": [[53, "version-bump"]], "Version bump job (Autorelease)": [[55, "version-bump-job-autorelease"]], "Version bumping (Release Work)": [[63, "version-bumping-release-work"]], "Vocabulary Reference": [[58, "vocabulary-reference"]], "WARN": [[15, "warn"]], "Welcome to OpenDaylight Documentation": [[35, "welcome-to-opendaylight-documentation"]], "What are Managed Projects?": [[56, "what-are-managed-projects"]], "What is Gerrit\u00a0?": [[9, "what-is-gerrit"]], "What is a Managed Distribution?": [[56, "what-is-a-managed-distribution"]], "What to Do with OpenDaylight": [[34, "what-to-do-with-opendaylight"]], "What\u2019s different about OpenDaylight": [[31, "whats-different-about-opendaylight"]], "Word Choice": [[24, "word-choice"]], "Working with YANG Model": [[23, "working-with-yang-model"]], "Working with YANG data": [[23, "working-with-yang-data"]], "XML": [[0, "xml"]], "YANG Maven Plugin": [[23, "yang-maven-plugin"]], "YANG Model API": [[23, "yang-model-api"]], "YANG Parser": [[23, "yang-parser"]], "YANG Tools": [[23, "id1"], [52, "yang-tools"]], "YANG Tools Developer Guide": [[23, "yang-tools-developer-guide"]], "YANG Tools Impacts": [[53, "yang-tools-impacts"]], "YANG data API": [[23, "yang-data-api"]], "YANG data Codecs": [[23, "yang-data-codecs"]], "YANG model for config subsystem": [[12, "yang-model-for-config-subsystem"]], "YangTextSchemaSources is a CharSource": [[53, "yangtextschemasources-is-a-charsource"]], "bundle-parent": [[18, "bundle-parent"]], "clone a project repository and get a local copy of the code": [[9, "clone-a-project-repository-and-get-a-local-copy-of-the-code"]], "error-prone": [[1, "error-prone"]], "feature-repo-parent": [[18, "feature-repo-parent"]], "features-index": [[11, "features-index"]], "features-parent": [[18, "features-parent"]], "features-test": [[11, "features-test"]], "genius AsyncClusteredDataTreeChangeListenerBase & AsyncDataTreeChangeListenerBase": [[19, "genius-asyncclustereddatatreechangelistenerbase-asyncdatatreechangelistenerbase"]], "genius ResourceBatchingManager": [[19, "genius-resourcebatchingmanager"]], "hostconfig": [[17, "hostconfig"]], "infrautils JobCoordinator (formerly genius DataStoreJobCoordinator)": [[19, "infrautils-jobcoordinator-formerly-genius-datastorejobcoordinator"]], "installing odl-neutron-service while the controller running": [[70, "installing-odl-neutron-service-while-the-controller-running"]], "karaf-parent": [[18, "karaf-parent"]], "karaf4-parent": [[18, "karaf4-parent"]], "nonNullAndOptional": [[1, "nonnullandoptional"]], "null analysis by FindBugs VS. Eclipse JDT": [[1, "null-analysis-by-findbugs-vs-eclipse-jdt"]], "null analysis errors, incl. FindBugs\u2019 NP_NONNULL_FIELD_NOT_INITIALIZED_IN_CONSTRUCTOR": [[1, "null-analysis-errors-incl-findbugs-np-nonnull-field-not-initialized-in-constructor"]], "null annotations from org.eclipse.jdt.annotation instead of javax.annotation": [[1, "null-annotations-from-org-eclipse-jdt-annotation-instead-of-javax-annotation"]], "nullable errors for fields related to dependency injection": [[1, "nullable-errors-for-fields-related-to-dependency-injection"]], "odl-integration-all": [[11, "odl-integration-all"]], "odl-integration-compatible-with-all": [[11, "odl-integration-compatible-with-all"]], "odlparent": [[18, "odlparent"]], "odlparent-lite": [[18, "odlparent-lite"]], "prepare a change": [[9, "prepare-a-change"]], "reStructuredText-based Documentation": [[24, "restructuredtext-based-documentation"]], "single-feature-parent": [[18, "single-feature-parent"]], "{@inheritDoc} JavaDoc": [[1, "inheritdoc-javadoc"]]}, "docnames": ["contributor-guides/coding-guidelines/coding-guidelines-generic", "contributor-guides/coding-guidelines/coding-guidelines-java", "contributor-guides/coding-guidelines/coding-guidelines-python", "contributor-guides/coding-guidelines/coding-guidelines-xml", "contributor-guides/coding-guidelines/coding-guidelines-yang", "contributor-guides/coding-guidelines/index", "contributor-guides/copyright", "contributor-guides/index", "contributor-guides/jira-ticketing-guide", "contributor-guides/newcomers-guide", "developer-guides/developing-apps-on-the-opendaylight-controller", "developer-guides/distribution-test-features", "developer-guides/distribution-version", "developer-guides/index", "developer-guides/integrating-animal-sniffer-plugin-with-projects", "developer-guides/logging-subsystem", "developer-guides/neutron-northbound", "developer-guides/neutron-service-developer-guide", "developer-guides/odl-parent-developer-guide", "developer-guides/tests/component", "developer-guides/tests/considerations", "developer-guides/tests/index", "developer-guides/tests/junit", "developer-guides/yang-tools", "documentation", "downloads", "getting-started-guide/clustering", "getting-started-guide/concepts_and_tools", "getting-started-guide/how-to-get-help", "getting-started-guide/index", "getting-started-guide/installing_opendaylight", "getting-started-guide/introduction", "getting-started-guide/persistence_and_backup", "getting-started-guide/security_considerations", "getting-started-guide/what_to_do_with_odl", "index", "javadoc", "release-notes/index", "release-notes/projects/aaa", "release-notes/projects/bgpcep", "release-notes/projects/controller", "release-notes/projects/daexim", "release-notes/projects/distribution", "release-notes/projects/infrautils", "release-notes/projects/jsonrpc", "release-notes/projects/lispflowmapping", "release-notes/projects/mdsal", "release-notes/projects/netconf", "release-notes/projects/openflowplugin", "release-notes/projects/ovsdb", "release-notes/projects/serviceutils", "release-notes/projects/transportpce", "release-notes/projects/yangtools", "release-notes/upgrade-process", "release-process/autorelease", "release-process/branch-cutting", "release-process/identifying-managed-projects", "release-process/index", "release-process/managed-release", "release-process/project-lifecycle", "release-process/project-release", "release-process/release-schedule", "release-process/simultaneous-release", "release-process/super-committers", "templates/template-developer-guide", "templates/template-install-guide", "templates/template-user-guide", "user-guide/distribution-version-user-guide", "user-guide/index", "user-guide/netconf-user-guide", "user-guide/neutron-service-user-guide", "user-guide/opendaylight-controller-overview"], "envversion": {"sphinx": 61, "sphinx.domains.c": 3, "sphinx.domains.changeset": 1, "sphinx.domains.citation": 1, "sphinx.domains.cpp": 9, "sphinx.domains.index": 1, "sphinx.domains.javascript": 3, "sphinx.domains.math": 2, "sphinx.domains.python": 4, "sphinx.domains.rst": 2, "sphinx.domains.std": 2, "sphinx.ext.intersphinx": 1, "sphinx.ext.todo": 2, "sphinx.ext.viewcode": 1}, "filenames": ["contributor-guides/coding-guidelines/coding-guidelines-generic.rst", "contributor-guides/coding-guidelines/coding-guidelines-java.rst", "contributor-guides/coding-guidelines/coding-guidelines-python.rst", "contributor-guides/coding-guidelines/coding-guidelines-xml.rst", "contributor-guides/coding-guidelines/coding-guidelines-yang.rst", "contributor-guides/coding-guidelines/index.rst", "contributor-guides/copyright.rst", "contributor-guides/index.rst", "contributor-guides/jira-ticketing-guide.rst", "contributor-guides/newcomers-guide.rst", "developer-guides/developing-apps-on-the-opendaylight-controller.rst", "developer-guides/distribution-test-features.rst", "developer-guides/distribution-version.rst", "developer-guides/index.rst", "developer-guides/integrating-animal-sniffer-plugin-with-projects.rst", "developer-guides/logging-subsystem.rst", "developer-guides/neutron-northbound.rst", "developer-guides/neutron-service-developer-guide.rst", "developer-guides/odl-parent-developer-guide.rst", "developer-guides/tests/component.rst", "developer-guides/tests/considerations.rst", "developer-guides/tests/index.rst", "developer-guides/tests/junit.rst", "developer-guides/yang-tools.rst", "documentation.rst", "downloads.rst", "getting-started-guide/clustering.rst", "getting-started-guide/concepts_and_tools.rst", "getting-started-guide/how-to-get-help.rst", "getting-started-guide/index.rst", "getting-started-guide/installing_opendaylight.rst", "getting-started-guide/introduction.rst", "getting-started-guide/persistence_and_backup.rst", "getting-started-guide/security_considerations.rst", "getting-started-guide/what_to_do_with_odl.rst", "index.rst", "javadoc.rst", "release-notes/index.rst", "release-notes/projects/aaa.rst", "release-notes/projects/bgpcep.rst", "release-notes/projects/controller.rst", "release-notes/projects/daexim.rst", "release-notes/projects/distribution.rst", "release-notes/projects/infrautils.rst", "release-notes/projects/jsonrpc.rst", "release-notes/projects/lispflowmapping.rst", "release-notes/projects/mdsal.rst", "release-notes/projects/netconf.rst", "release-notes/projects/openflowplugin.rst", "release-notes/projects/ovsdb.rst", "release-notes/projects/serviceutils.rst", "release-notes/projects/transportpce.rst", "release-notes/projects/yangtools.rst", "release-notes/upgrade-process.rst", "release-process/autorelease.rst", "release-process/branch-cutting.rst", "release-process/identifying-managed-projects.rst", "release-process/index.rst", "release-process/managed-release.rst", "release-process/project-lifecycle.rst", "release-process/project-release.rst", "release-process/release-schedule.rst", "release-process/simultaneous-release.rst", "release-process/super-committers.rst", "templates/template-developer-guide.rst", "templates/template-install-guide.rst", "templates/template-user-guide.rst", "user-guide/distribution-version-user-guide.rst", "user-guide/index.rst", "user-guide/netconf-user-guide.rst", "user-guide/neutron-service-user-guide.rst", "user-guide/opendaylight-controller-overview.rst"], "indexentries": {}, "objects": {}, "objnames": {}, "objtypes": {}, "terms": {"": [1, 6, 8, 9, 10, 14, 15, 16, 18, 19, 20, 22, 23, 24, 26, 27, 28, 30, 33, 37, 38, 39, 40, 46, 47, 51, 52, 54, 55, 56, 58, 59, 62, 66, 70], "0": [0, 1, 2, 6, 9, 10, 12, 14, 15, 18, 23, 24, 26, 30, 44, 48, 51, 53, 58, 60, 61, 67, 71], "00": [26, 61], "00000000": 24, "00z": 61, "01": [23, 26, 61], "02": [1, 23, 61], "03": [10, 51, 61], "04": 61, "05": 61, "0500": 24, "06": [26, 61], "06t00": 61, "07": [20, 61], "08": [23, 61], "09": [23, 37, 51, 61], "0byplysxjhhjauxdfrkjqrgo4adg": 57, "1": [2, 9, 12, 14, 18, 19, 22, 23, 24, 26, 30, 33, 38, 40, 47, 48, 51, 52, 53, 55, 58, 60, 61, 62, 63, 66, 67, 71], "10": [26, 47, 52, 53, 61], "100": [24, 26], "1000": 26, "10000": 1, "100000": 15, "100644": 24, "1021": 47, "1030": 47, "1049": 47, "1051": 47, "1054": 47, "1058": 47, "1060": 47, "1061": 47, "1062": 54, "1082": 47, "1083": 47, "1090": 47, "1094": 47, "1095": 47, "1097": 47, "10pm": 61, "11": [10, 18, 26, 46, 52, 53, 61], "1100": 47, "1101": 47, "1103": 47, "1127": 47, "1130": 47, "1151": 47, "1152": 47, "1153": 47, "1159": 47, "116": 1, "1163": 47, "1164": 47, "1165": 47, "1168": 47, "1170": 47, "1171": 47, "1172": [47, 53], "1173": 47, "1179": 47, "1180": 47, "1192": 47, "1194": 47, "1198": 47, "12": [26, 40, 46, 53], "120": [0, 1, 2, 9], "1200": 47, "1203": 47, "1204": 47, "1233": 47, "123456": 33, "1236": 47, "1241": 47, "1242": 47, "1254": 47, "1259": [47, 52], "1261": 47, "1263": 47, "1266": 47, "127": [12, 26, 67], "1270": 47, "1272": 52, "1273": 47, "1277": 47, "1279": [47, 51], "1285": 47, "1295": 47, "1299": 47, "13": [26, 47, 51, 53], "135": 26, "14": [24, 53, 61], "1409": 52, "1413": 53, "1461": 52, "1482": 52, "1483738005": 26, "1483740350": 26, "1489": 53, "1494": 52, "15": [38, 61], "1508": 53, "1509": 53, "1518": 53, "1521": 52, "1523": 52, "1524": 52, "1532": 52, "1538": 52, "1548": 52, "1549": 52, "1550": 52, "1551": 52, "1559": 52, "1561": 52, "1562": 52, "1563": 52, "1566": 52, "1570": 52, "1572": 52, "16": [14, 26, 46, 61], "160000": 24, "168": 26, "17": [26, 37, 38, 60, 61], "18": [18, 25, 26, 38, 53, 61], "19": [18, 26, 61], "1903": 62, "192": 26, "1980": 40, "1_": 26, "1mb": 26, "1st": 24, "2": [1, 9, 14, 18, 19, 23, 24, 26, 38, 44, 47, 51, 53, 58, 61, 62, 63, 66], "20": [18, 26, 61], "200": [11, 26], "20000": 26, "2001": 18, "2005": 1, "200gbp": 51, "2013": 20, "2014": 18, "2015": 23, "2016": [18, 19, 23, 24], "2017": 26, "2021": [0, 10], "2022": 61, "2023": [25, 37, 61], "2024": [25, 51, 61], "2025": 61, "2043": 40, "2054": 40, "2085": 40, "2087": 40, "2090": 40, "2092": 40, "2094": 40, "2095": 40, "2096": 40, "2097": 40, "2098": 40, "21": [10, 18, 61], "2101": 40, "2105": 40, "2106": 40, "2108": 40, "2109": 40, "22": 61, "23": [24, 61], "239da71": 9, "24": 61, "25": 61, "2550": 26, "256kb": 26, "26": 61, "26327957": 1, "266": 38, "268": 38, "269": 38, "27": 61, "272": 38, "28": 61, "28th": 24, "29418": 9, "2xx": 33, "3": [1, 9, 10, 23, 24, 26, 32, 33, 37, 42, 47, 48, 52, 53, 61, 66, 71], "30": [26, 61], "300": [24, 26, 30], "305": 1, "31": 23, "320": 26, "35679852": 30, "37": [18, 26], "38": 24, "4": [1, 9, 10, 11, 22, 23, 24, 26, 46, 48, 51, 52, 53, 60, 61], "40": 18, "400": 47, "41679": 24, "4271": 39, "44": 24, "44145": 1, "45": 24, "450": 26, "467": 1, "497": 46, "4g": 26, "4mb": 26, "5": [1, 9, 18, 23, 25, 26, 38, 40, 46, 47, 52, 53, 61], "50": [0, 9, 24, 26, 27, 58], "500": [10, 26, 47], "5000": 26, "512m": 26, "55": 62, "568": 53, "6": [2, 14, 23, 24, 25, 26, 33, 40, 47, 52, 53, 58, 61], "6003": 12, "605": 26, "60855": 1, "61": 46, "615": 51, "6241": 47, "63372": 1, "63413": 1, "64": 30, "64kb": 26, "669": 46, "6cb0144": 9, "7": [1, 18, 23, 24, 26, 30, 40, 51, 52, 53, 61], "706": 51, "707": 51, "72": [0, 1, 9, 24], "7260c384": 22, "734": 51, "735": 51, "7476a6d9": 22, "752": 51, "754": 51, "758": 51, "761": 51, "763": 51, "764": 51, "766": 51, "767": 51, "768": 51, "769": 51, "770": 51, "772": [46, 51], "774": 51, "775": 51, "779": [46, 51], "780": 51, "782": [47, 51], "783": 51, "784": 51, "785": 51, "786": 51, "787": 51, "788": 51, "789": 51, "790": 51, "7943ce2cb41cd9d36ce93ee9003510ce3edd7fa9": 24, "798": 53, "7fd1258f6e161c035da41c8e95361648a0fb0d7c": 17, "8": [1, 10, 18, 22, 23, 30, 37, 40, 47, 53, 61], "80": [1, 9], "803": 53, "804": 53, "8040": 47, "810": 26, "8181": [10, 12, 67], "819": 46, "823": 53, "8231": 39, "830": 53, "836": 46, "841": 46, "842": 46, "843": 46, "844": 46, "8443": 33, "845": 46, "846": 46, "850": 46, "851": 46, "852": 46, "853": 46, "854": 46, "855": 47, "86": 9, "869": 1, "870": 1, "89": 24, "9": [4, 23, 47, 52], "91201bf6": 24, "918": 52, "93386": 9, "938": 47, "94257": 9, "9am": 61, "A": [1, 2, 6, 9, 10, 12, 15, 16, 19, 22, 23, 24, 26, 27, 31, 33, 50, 51, 53, 58, 59, 60, 61, 62, 67], "AND": [1, 26], "AS": 39, "And": [9, 51], "As": [0, 12, 14, 16, 18, 22, 24, 26, 53, 56, 61, 70], "At": [6, 9, 19, 22, 33, 58, 62], "BE": 9, "BUT": 19, "Be": [1, 6, 9, 20], "But": [0, 9, 12, 19], "By": [6, 10, 12, 26, 27, 33, 38, 67], "For": [0, 1, 9, 10, 15, 16, 17, 18, 20, 22, 23, 24, 26, 28, 30, 32, 33, 53, 54, 55, 56, 58, 62, 64, 66, 67, 70, 71], "IN": 15, "IT": [15, 20], "If": [1, 6, 9, 10, 12, 15, 17, 18, 19, 20, 22, 23, 24, 26, 27, 32, 33, 34, 35, 37, 53, 54, 58, 61, 62, 63, 64, 66, 67, 70], "In": [0, 1, 6, 9, 10, 11, 12, 15, 16, 17, 18, 19, 22, 23, 24, 26, 27, 33, 37, 47, 51, 58, 67], "It": [1, 9, 10, 11, 15, 16, 17, 18, 19, 20, 22, 23, 24, 26, 28, 33, 39, 40, 45, 47, 51, 53, 56, 57, 58, 70], "Its": 23, "NOT": [0, 1, 15, 19, 22, 62, 63], "No": [1, 19, 22, 23, 24, 26, 30, 38, 39, 46, 47, 52, 62], "Not": [30, 61], "ONE": [1, 17], "Of": 22, "On": [12, 15, 32, 67, 71], "One": [1, 6, 10, 15, 22, 23, 24, 58], "Such": [11, 58], "THAT": 9, "THE": 15, "THEN": 1, "TO": 15, "That": [1, 17, 20, 26, 51], "The": [0, 1, 6, 9, 10, 11, 12, 14, 15, 17, 18, 19, 20, 22, 23, 24, 26, 27, 28, 30, 31, 32, 33, 34, 35, 38, 39, 40, 42, 43, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 65, 66, 70, 71], "Their": 58, "Then": [9, 10, 17, 23, 24, 58], "There": [9, 10, 12, 15, 17, 18, 22, 23, 24, 26, 33, 38, 40, 43, 53, 58, 67, 70], "These": [9, 15, 18, 23, 24, 26, 33, 51, 57, 58, 63, 71], "To": [1, 2, 9, 11, 18, 19, 20, 22, 23, 24, 26, 27, 30, 32, 33, 45, 51, 53, 54, 56, 58, 64, 66], "WILL": 9, "With": [9, 17, 23], "_": [1, 4, 24, 30], "_127": 26, "__": 30, "___": 30, "____": [24, 30], "_____": 30, "______": 30, "_______": 30, "________": 30, "_a": 24, "_build": 24, "_eclipse_setup": 1, "_monitoring_and_management_using_jmx": 33, "_remot": 33, "_secur": 33, "_todo": 1, "_webconsol": 33, "aaa": [8, 31, 35, 37, 53, 55, 58], "aaaencryptionservic": 38, "abbrevi": 24, "abc123def456": 62, "abelur": 63, "abid": 9, "abil": [15, 26, 33, 56], "abl": [9, 19, 22, 24, 26, 58], "abort": [9, 15, 26], "aborttransactionscount": 26, "about": [1, 7, 9, 15, 19, 22, 24, 26, 33, 58, 64, 66, 71], "abov": [1, 6, 9, 10, 17, 19, 20, 22, 23, 24, 26, 32, 58, 63], "absenc": 9, "absent": [1, 59], "absolut": [1, 19, 20, 23, 26], "abstract": [1, 16, 19, 20, 27, 31, 37, 64, 66, 71], "abstractdatatreelisten": 1, "abstractdomdatabrok": 46, "abstractguicejsr250modul": 19, "abstracthierarchicalidentifi": 52, "abstractli": 24, "abstractlifecycl": [1, 19], "abstractmodulestringinstanceidentifiercodec": 52, "abstractneutroninterfac": 16, "accept": [1, 9, 10, 15, 23, 26], "access": [1, 9, 11, 12, 22, 23, 26, 33, 39, 47, 53, 63, 67], "accident": 1, "accompani": [0, 6, 10, 18], "accomplish": 58, "accord": [51, 55], "accordingli": 54, "account": [9, 31, 33, 38], "achiev": [20, 26, 62, 63], "acknowledg": 58, "acl": 24, "aclinterfacestatelisten": 19, "aclservic": 19, "aclservicemanag": 19, "aclservicemanagerimpl": 19, "aclservicemodul": 19, "aclservicetest": 19, "aclservicetestmodul": 19, "acquir": 26, "acquisit": 47, "across": [1, 9, 23, 24, 26, 51, 63], "act": [9, 22, 35, 58], "action": [9, 15, 19, 26, 40, 46, 47, 62], "activ": [9, 10, 11, 18, 23, 27, 39, 54, 66], "activeprofil": 54, "actor": [18, 26], "actual": [1, 9, 16, 19, 20, 22, 23, 24, 27, 56, 61, 70], "actualobj": 22, "ad": [1, 6, 9, 10, 11, 12, 16, 17, 19, 23, 26, 58, 59, 71], "adapt": [9, 18, 47], "add": [0, 1, 9, 12, 17, 18, 19, 22, 23, 24, 26, 30, 33, 34, 37, 38, 47, 48, 51, 52, 54, 55, 59, 65, 67], "addinstallrepositorypath": 18, "addit": [0, 16, 18, 22, 33, 37, 54, 58, 62], "addition": [22, 58], "addmd": 16, "address": [1, 10, 15, 22, 26, 27, 30, 33, 45, 51, 52, 71], "addsourc": 23, "adequ": 58, "adetail": 1, "adher": 24, "adjust": [54, 55, 61], "admin": [10, 12, 17, 26, 32, 33, 67], "administr": [12, 15, 33, 41, 66, 67], "adopt": 1, "advanc": [9, 33], "advantag": [22, 26, 33, 47], "advert": 47, "advertis": 39, "advisori": 33, "afe9fcf": 9, "affect": [11, 15, 26, 33], "affectedvers": 8, "aforement": 11, "after": [1, 9, 10, 15, 18, 19, 22, 23, 24, 26, 32, 33, 38, 47, 54, 58, 61, 62, 63, 66], "afterclass": 22, "again": [1, 9, 10, 20, 26, 30, 63], "against": [0, 22, 33, 58, 60], "agent": 17, "aggreg": [11, 18, 53, 56], "agnost": 23, "agre": [1, 9], "agreement": 51, "aid": [20, 66], "aim": [1, 18, 24], "aka": 9, "akka": [18, 26, 30], "algorithm": 71, "alia": 33, "alik": 9, "aliv": 26, "all": [0, 1, 6, 9, 10, 15, 16, 17, 18, 19, 20, 22, 23, 24, 30, 33, 37, 38, 39, 47, 51, 53, 54, 55, 58, 59, 60, 61, 62, 63, 64, 65], "all_1": 30, "allevi": 15, "alloc": [15, 58], "allocateid": 19, "allocateidinput": 19, "allocateidoutput": 19, "allocateidrang": 19, "allow": [6, 9, 12, 15, 18, 22, 23, 26, 27, 31, 32, 33, 41, 51, 52, 58, 61, 62, 63, 67, 71], "almost": [1, 58], "alon": 26, "along": [9, 15, 22, 24, 46], "alongsid": 24, "alreadi": [6, 9, 11, 19, 26, 47, 53, 54, 62], "also": [1, 9, 11, 15, 17, 18, 19, 20, 22, 23, 24, 26, 33, 42, 45, 50, 51, 53, 54, 58, 61, 62, 64, 66, 70, 71], "alter": 33, "altern": [1, 9, 26], "although": 9, "aluminium": [9, 10], "aluminum": 8, "alwai": [1, 15, 17, 19, 24, 26, 58], "ambigu": 52, "amd64": 30, "amen": 58, "amend": [9, 24], "among": 26, "amount": [1, 9, 15, 26], "an": [4, 6, 9, 11, 12, 15, 16, 19, 20, 22, 23, 24, 26, 27, 28, 30, 31, 32, 33, 34, 37, 38, 39, 40, 51, 53, 54, 57, 58, 59, 61, 62, 63, 64, 66, 67, 70, 71], "analog": 10, "analysi": [15, 18], "analyt": 71, "anautoclos": 1, "android": 1, "ani": [1, 2, 10, 11, 12, 14, 15, 16, 18, 19, 22, 23, 24, 26, 32, 33, 34, 37, 49, 52, 53, 55, 58, 59, 60, 62, 63, 65, 66, 67, 71], "anil": 63, "anim": 13, "annot": 19, "annotatedwith": 19, "announc": [25, 62], "anomali": 26, "anonym": [1, 20], "anopt": 1, "anoth": [1, 9, 11, 15, 17, 18, 19, 22, 24, 26, 27, 58, 70], "anti": 15, "anul": 1, "anybodi": 9, "anymor": [1, 20], "anyon": [19, 33, 58, 63], "anyth": [1, 8, 18, 19, 55], "anywai": [1, 19], "anywher": [1, 24], "anyxmlnod": 23, "apach": [11, 18, 27, 33, 37], "apex": 34, "api": [1, 14, 15, 18, 19, 22, 24, 26, 27, 31, 32, 33, 37, 38, 39, 40, 45, 47, 48, 51, 52, 53, 58, 66, 70, 71], "app": 13, "appear": [9, 10, 22, 33], "append": 22, "appl": 9, "appli": [1, 9, 12, 23, 24, 26, 32, 33, 58, 67], "applic": [4, 8, 10, 15, 23, 26, 31, 33, 37, 45, 49, 51, 58, 59, 61, 64, 65, 71], "appoint": 58, "approach": [26, 33], "appropri": [1, 10, 18, 22, 24, 33, 37, 53, 58, 63], "approv": [1, 48, 58, 62, 63], "approxim": [24, 26], "april": 25, "ar": [0, 1, 6, 9, 10, 11, 12, 15, 16, 17, 18, 19, 20, 22, 23, 24, 26, 27, 30, 31, 32, 33, 34, 37, 38, 40, 43, 45, 47, 48, 50, 51, 52, 53, 54, 55, 58, 59, 61, 62, 63, 66, 67, 70, 71], "arbit": 26, "arbitr": 48, "arbitrari": 11, "architectur": [27, 31, 65], "archiv": [1, 30, 33, 56, 60, 70], "arg": 15, "argon": [53, 61], "argonsr3": 51, "argument": [1, 10, 15, 19, 22, 23], "aris": [6, 19, 61], "around": [1, 19, 22, 24], "arp": [24, 71], "arraylist": [1, 16], "arriv": 26, "artefact": [1, 23], "arteri": 26, "articl": [20, 33], "artifact": [1, 10, 16, 18, 54, 59, 60, 62], "artifactid": [10, 12, 14, 18, 19, 22], "asc": 62, "ascertain": 58, "ascii": 9, "asciidoc": 18, "asid": 11, "ask": [1, 9, 40, 60], "asl": 18, "asm": 55, "assembli": [10, 26], "assert": [1, 15, 18, 19], "assertdataobject": 20, "assertequ": 22, "asserttru": 22, "assign": [6, 26], "assist": 50, "associ": [15, 24, 50], "assum": [1, 12, 15, 18, 22, 24, 64, 66, 67], "assumpt": 52, "asyncdatachangeev": 17, "asynceventswait": 19, "asynchron": [19, 47], "atomix": 40, "atoz": 51, "attach": 4, "attack": 33, "attempt": [1, 10, 15, 16, 26, 33], "attent": 58, "attract": 33, "audienc": [15, 24, 64, 66], "audit": 17, "augment": [1, 12, 23, 52, 53], "augmentationidentifi": 52, "augmentationschema": 23, "augmenteffectivestatementimpl": 52, "augmetationnod": 52, "authent": [31, 33, 38], "author": [6, 9, 10, 18, 24, 31, 33, 38], "auto": 9, "autoclos": [1, 17], "autom": [0, 2, 9, 15, 24, 34, 58, 71], "automat": [1, 9, 15, 18, 19, 22, 24, 26, 31, 58], "automatedweeklyreleas": 54, "autonomi": 58, "autoreleas": [57, 58, 62, 63], "avail": [0, 1, 2, 6, 9, 10, 11, 12, 15, 18, 24, 26, 27, 33, 47, 51, 58, 61, 64, 67], "avoid": [1, 9, 18, 20, 22, 24, 61], "awaiteventsconsumpt": 19, "awar": [12, 17, 26, 51, 67, 71], "awkward": 9, "b": [1, 9, 24, 33, 55], "b56e11c8": 24, "back": [16, 22, 26, 58, 59, 60, 71], "background": [15, 19, 58], "backup": [29, 62], "backward": 23, "bad": [9, 15, 24], "bad_method": 15, "bad_method1": 15, "bad_method2": 15, "bad_method3": 15, "bad_method4": 15, "bad_method5": 15, "bad_method6": 15, "badli": [15, 30], "balanc": 45, "banana": 9, "band": 20, "bar": 23, "base": [0, 1, 9, 10, 15, 17, 18, 19, 23, 26, 27, 31, 33, 39, 40, 46, 47, 51, 53, 55, 58, 61, 64, 68, 70, 71], "bash": 24, "basi": [1, 63], "basic": [9, 10, 15, 16, 17, 18, 22, 23, 26, 27, 39, 65, 68], "bat": [9, 24, 30], "batch": [1, 9, 26], "bc_unconfirmed_cast": 1, "beam": 0, "bean": [10, 19], "beat": 26, "becaus": [1, 9, 15, 17, 19, 20, 22, 23, 24, 26, 58, 59], "becom": [1, 9, 15, 19, 26, 53, 58], "bee": 22, "been": [1, 9, 15, 19, 22, 24, 26, 30, 33, 40, 46, 47, 52, 58, 60, 63], "befor": [1, 9, 12, 15, 19, 22, 23, 24, 26, 30, 32, 33, 48, 53, 58, 61, 62, 63, 67], "beforeclass": 22, "begin": 71, "behalf": [6, 58, 63], "behav": 22, "behavior": [1, 8, 11, 12, 22, 23, 30], "behaviour": [1, 46], "being": [1, 8, 9, 15, 18, 23, 24, 33, 51, 53, 56, 58, 61, 63, 66], "belong": [22, 23, 24, 26], "below": [0, 1, 9, 10, 14, 16, 19, 22, 23, 24, 26, 27, 30, 55, 58], "belur": 63, "benefit": [1, 33], "beryllium": 17, "best": [6, 22, 24, 26, 32, 58], "better": [1, 9, 15, 19, 22, 24, 26, 33, 58], "between": [9, 11, 12, 15, 22, 24, 26, 33, 40, 47, 51, 58, 61, 66, 67, 71], "bewar": 9, "beyond": 37, "bgp": [31, 37, 40, 71], "bgpcep": [8, 35, 36, 51], "bi": 33, "bias": 24, "bidirect": 71, "big": 47, "bill": 1, "bin": [10, 26, 30, 32], "binari": [62, 63], "bind": [10, 11, 17, 18, 20, 46, 71], "bindingruntimehelp": 53, "binfmt": 52, "black": 20, "blank": [0, 9], "bless": 56, "blob": [1, 17], "blob_plain": 63, "block": [1, 22, 26, 47, 55, 62, 63], "blocker": [8, 58, 61], "blocksiz": 26, "blog": 0, "blogspot": [1, 20], "blown": 20, "blueprint": [9, 10, 18, 19, 40], "board": 58, "bodi": [0, 9, 10, 24], "bold": 24, "boolean": [1, 23, 26], "boot": 18, "bootstrap": 58, "border": 39, "boron": [12, 17, 54], "both": [9, 15, 18, 23, 24, 26, 33, 49, 51, 53, 58, 59, 62, 64], "bottom": 9, "bound": [11, 19, 26, 39, 51], "boundari": [26, 33], "bourn": 9, "box": [24, 48, 55, 60], "bp": 19, "branch": [9, 14, 24, 51, 54, 57, 60, 61, 62, 63], "branch_cut": 55, "branch_nam": 9, "bread": 9, "break": [9, 26, 58], "breakag": 58, "breaker": 40, "breakpoint": 22, "bridg": 33, "brief": 9, "bring": [9, 26, 51], "broken": [26, 47], "broker": [1, 18, 46], "brought": [26, 47, 58, 63], "brows": 51, "browser": 24, "bsd": 9, "btw": 1, "bu": 27, "bubbl": 1, "buffer": 40, "bug": [6, 8, 9, 12, 51, 53, 58, 61, 67], "bugfix": [23, 58], "bugzilla": 18, "build": [1, 2, 11, 14, 16, 17, 18, 21, 23, 33, 37, 39, 47, 55, 58, 59, 60, 61, 62, 64, 71], "build_num": 62, "buildact": 23, "buildeffect": 23, "builder": [0, 16, 20, 23, 24], "buildfutur": 10, "built": [9, 10, 23, 33, 37, 51, 56, 59, 60, 62], "bulk": 1, "bullet": 62, "bump": [51, 58, 61, 62], "bundl": [1, 19, 22, 26, 30, 37, 48, 50, 51, 60, 71], "burden": 15, "busi": [51, 58, 71], "button": [10, 54, 55, 62, 63], "bypass": 9, "byte": [53, 60], "bytecod": 15, "bytesourc": 52, "c": [1, 6, 10, 24, 33], "ca": 51, "cach": 53, "cadenc": [58, 61], "calcium": 61, "calcul": [15, 51], "call": [1, 9, 10, 19, 20, 22, 23, 26, 37, 44, 47, 61, 71], "callabl": [1, 22], "caller": [1, 15], "came": 24, "can": [0, 1, 6, 8, 9, 10, 12, 15, 17, 18, 19, 20, 22, 23, 24, 26, 27, 28, 30, 32, 33, 37, 45, 48, 49, 50, 51, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 66, 67, 71], "candid": [15, 54, 58, 61, 62], "cannot": [1, 15, 24, 26, 30, 33, 47, 52, 58], "canon": 26, "capabl": [15, 17, 33, 38, 39, 45, 47, 71], "capac": 26, "capit": [0, 10, 24], "captur": [15, 22, 26], "carbon": [18, 25, 62], "care": [1, 9, 17, 20, 22, 26, 66], "carefulli": [1, 33], "caret": 66, "carri": 26, "carriag": 9, "cascad": 9, "case": [0, 1, 9, 10, 11, 12, 15, 19, 22, 23, 24, 26, 32, 33, 34, 58, 64, 66], "cast": 18, "catalogu": 15, "catch": [1, 15], "categori": 26, "caught": 1, "caus": [15, 22, 24, 30, 63], "ccsdk": 42, "cd": [9, 10, 14, 24, 30, 62], "center": 26, "central": [1, 9, 18, 31, 33, 35], "cert": 38, "certain": [18, 26], "certainti": 26, "certif": [9, 33], "certifi": 1, "cfg": [11, 26, 27, 33], "ch": [1, 20], "chain": [9, 15], "challeng": 58, "chanc": 58, "chang": [0, 1, 6, 8, 10, 11, 12, 14, 15, 17, 19, 23, 24, 26, 32, 33, 53, 55, 58, 59, 60, 62, 63, 67, 70], "change_numb": 9, "channel": [28, 33], "chao": 10, "chapter": [1, 12, 19, 24], "char": 9, "charact": [0, 1, 2, 9, 10, 24, 52, 53], "charg": 9, "charsourc": 52, "charter": [6, 9], "chat": 28, "check": [6, 10, 12, 14, 18, 19, 22, 23, 24, 26, 32, 55, 58, 59, 60, 61, 63], "checkbox": [55, 62], "checker": 1, "checklist": 9, "checknotnul": 1, "checkout": [9, 14, 55, 62], "checkpoint": 61, "checkstyl": [9, 18], "child": [17, 23, 47], "childcontainerqnam": 23, "childkeyleafqnam": 23, "childorderedlistentrynod": 23, "childorderedlistnod": 23, "childorderedlistqnam": 23, "childordinaryleafqnam": 23, "children": 52, "chkval1": 23, "chlfval1": 23, "chmod": 9, "choic": [23, 26, 58], "choicenod": 23, "choiceschemanod": 23, "choos": [12, 22, 24, 26, 58, 60], "chosen": 23, "chri": 0, "chunk": 26, "ci": [9, 62, 63], "circuit": 40, "circular": 52, "circumst": 15, "citi": 33, "clarif": 23, "clarifi": [1, 9, 19, 59], "clariti": 1, "class": [10, 12, 15, 16, 17, 18, 19, 20, 23, 24, 26, 33, 37, 40, 53], "classic": 9, "classifi": 18, "classprefix": 10, "classtoinstancemap": 53, "claus": [1, 6], "clazz": 1, "clean": [1, 9, 10, 14, 18, 22, 24, 26, 53, 55, 60, 62], "cleanli": 46, "clear": [1, 23, 58, 64], "clearer": [1, 19], "clearinghous": 35, "clearli": [9, 15, 58], "cli": [1, 10], "click": [9, 10, 22, 54, 60, 62], "client": [23, 26, 28, 47, 51, 66], "clone": [14, 62], "close": [1, 3, 9, 10, 19, 22, 58], "closeabl": 12, "closeorwarn": 1, "closer": 1, "cloud": 66, "cluster": [11, 12, 18, 29, 32, 40, 42, 46, 67], "clutter": 15, "cmd": 30, "cn": 33, "cnsn": 22, "co": [1, 9, 15], "coala": 0, "coars": 15, "code": [6, 7, 10, 15, 16, 17, 18, 20, 22, 23, 27, 30, 31, 33, 51, 61, 64, 71], "codebas": [9, 47, 59], "codec": [18, 52], "codehau": 14, "codenam": 56, "coexist": 9, "coincid": 61, "collect": [1, 15, 23, 26, 58], "coloc": 26, "color": [9, 65], "colorless": 51, "com": [9, 10, 20, 22, 24, 26, 30, 33, 51, 57, 63], "combin": [1, 9, 11, 19, 22, 23, 26, 30, 53], "come": [9, 12, 23, 32, 54, 58, 62, 63], "comma": [18, 26], "command": [2, 9, 10, 12, 24, 26, 30, 32, 33, 54, 62, 66, 67], "comment": [0, 1, 4, 9, 24, 60, 62], "commit": [17, 23, 26, 46, 55, 56, 60], "commit_hash": 9, "commitindex": 26, "committ": [1, 9, 55, 57], "committe": 59, "committedtransactionscount": 26, "common": [10, 18, 20, 22, 23, 42, 52, 53], "commonli": 9, "commonmethod": 1, "commun": [9, 10, 17, 24, 27, 28, 33, 39, 56, 62, 63, 70], "compani": [0, 6, 58], "companion": 19, "compar": [26, 31], "comparison": 22, "compat": [1, 6, 9, 14, 18, 23, 30, 51], "compendium": 18, "compens": 15, "compet": 22, "compil": [9, 18, 22, 51, 53], "complement": 51, "complet": [10, 15, 18, 19, 22, 24, 26, 30, 46, 47, 52, 53, 55, 60, 61, 62, 63], "complex": [1, 23, 24], "compli": [6, 23], "complianc": [1, 33], "compliant": [10, 37], "complic": [20, 65], "compon": [1, 10, 12, 15, 17, 18, 21, 24, 27, 33, 39, 40, 47, 51, 56, 58, 64, 66, 67, 70, 71], "comprehens": 24, "compress": 26, "compris": [31, 50], "comput": [31, 39, 51], "concept": [4, 15, 29, 53, 71], "concepts_and_tool": 24, "conceptu": [19, 23], "concern": [15, 59], "concret": 23, "concurr": [10, 18, 43], "condit": [11, 15, 20, 23, 47, 63], "conditionalpermissionadmin": 33, "conf": [24, 26], "confid": 9, "config": [11, 18, 24, 27, 32, 40, 55, 63], "config_cod": 1, "configfil": 18, "configloc": 18, "configur": [1, 2, 12, 14, 15, 16, 18, 19, 22, 24, 27, 30, 33, 39, 45, 48, 49, 51, 55, 67], "configure_clust": 26, "configurebind": 19, "confirm": [9, 15, 59, 62], "conflict": [11, 26, 51, 61], "conform": 9, "confus": [1, 19, 53], "connect": [12, 22, 27, 28, 31, 33, 47, 48, 51, 67], "connector": [12, 67], "consequ": 12, "consid": [1, 9, 11, 19, 20, 22, 23, 26, 58], "consider": [21, 29, 30, 58], "consist": [9, 23, 24, 32, 39, 51, 58], "consol": [1, 10, 33], "consoleoutput": 18, "constant": [15, 23], "constantli": 17, "constantschemaabstractdatabrokertest": 20, "constitut": [15, 56], "constraint": [33, 51], "construct": [15, 23, 33, 53], "constructor": [1, 19, 20, 23, 26], "consum": [6, 24, 48, 58, 61], "contact": [1, 11, 18, 33], "contain": [1, 6, 9, 10, 11, 12, 15, 16, 18, 22, 23, 24, 26, 37, 47, 53, 62, 66], "containerbuild": 23, "containernod": 23, "containernode2": 23, "containerschemanod": 23, "content": [1, 9, 10, 11, 12, 15, 19, 23, 26, 32, 47, 51, 54, 60, 67], "context": [0, 12, 23, 40, 67], "contid": 23, "continu": [1, 9, 15, 16, 18, 19, 24, 26, 51, 56, 58], "contnod": 23, "contract": [15, 24, 53], "contribut": [0, 1, 6, 11, 15, 19, 24, 55, 58], "contributor": [0, 1, 6, 9, 24, 34, 35, 58, 71], "control": [8, 9, 12, 13, 15, 18, 20, 22, 24, 26, 31, 32, 33, 35, 37, 39, 45, 47, 48, 49, 50, 51, 58, 61, 65, 67, 68], "convei": [1, 15], "conveni": 26, "convent": 24, "convert": [9, 48], "cooper": 24, "coordin": [25, 26], "coordinatoreventswait": 19, "copi": [1, 6, 11, 22, 24, 26], "copyright": [0, 7, 10, 18, 40], "copyrightyear": 10, "core": [18, 24, 33, 56, 58], "corner": 9, "cornerston": 39, "correct": [1, 6, 9, 10, 15, 16, 24, 33, 58], "correctli": [1, 10, 15, 23, 28], "correl": 15, "correspond": [1, 12, 16, 17, 22, 23, 24, 26, 53, 58, 59, 60, 61], "cosmet": 1, "cost": 15, "could": [1, 15, 19, 20, 23, 24, 26, 30, 33, 51, 53, 64, 65, 66], "couldn": 1, "count": [24, 26], "counter": 26, "counterpart": 23, "countri": 33, "cours": [1, 18, 19, 20, 22], "cover": [17, 19, 58, 64, 68], "coverag": [18, 19, 22, 58], "cp": 10, "cpu": [10, 26], "crash": 26, "creat": [1, 2, 9, 10, 12, 15, 16, 17, 23, 24, 26, 27, 28, 30, 31, 32, 33, 47, 51, 55, 58, 62, 66, 67], "createinst": 12, "createnetwork": 17, "createxmlstreamread": 23, "creation": [10, 17, 23, 51], "credenti": [10, 33, 47], "credit": 6, "crisi": 58, "critic": [15, 24, 58], "crlf": 9, "cross": [15, 23, 24, 26], "crosssourcestatementreactor": 23, "crud": [16, 23], "csit": [11, 24, 58, 59, 61], "ctrl": [19, 30], "curl": [12, 33, 67], "curr_releas": 55, "current": [0, 6, 9, 10, 12, 17, 18, 22, 23, 24, 25, 26, 33, 41, 42, 51, 55, 56, 58, 59, 61], "currentterm": 26, "cursor": 9, "custom": [1, 33, 34, 51, 53], "custom_shard_config": 26, "customari": 1, "custombundleurlstreamhandlerfactori": 18, "customvalid": 12, "cut": [1, 9, 57, 61], "cycl": [1, 23, 55, 57, 58, 62], "d": [9, 30, 54], "daddinstallrepositorypath": 18, "daexim": [35, 37], "dai": [1, 6, 58, 61, 62, 66], "daniel": 24, "darchetypeartifactid": 10, "darchetypecatalog": 10, "darchetypegroupid": 10, "darchetypevers": 10, "dash": 66, "dashboard": [9, 60], "data": [1, 15, 16, 17, 19, 20, 22, 24, 26, 27, 30, 31, 32, 33, 41, 45, 46, 47, 48, 51, 52], "databas": [22, 23, 26, 65, 71], "databrok": [1, 10, 17, 19], "databrokertestmodul": 20, "datachangelisten": 17, "datachangescop": 17, "datajourn": 40, "dataobject": 17, "dataplan": 45, "dataschemacontexttre": 53, "dataschemanod": 23, "datastor": [16, 19, 24, 26, 27, 31, 40, 46, 47, 48, 50], "datastore_backup": 32, "datastorejobcoordin": 1, "datastoreutil": 20, "datatreechang": 47, "datatreechangelisten": 46, "datatreeeventcallbackregistrar": 19, "datatreeeventcallbackregistrarimpl": 19, "datatreeidentifi": 46, "datatreemodif": 23, "datatreesnapshot": 23, "date": [6, 23, 24, 25, 27, 58, 61], "daylight": 24, "db": [17, 39, 51], "ddoc": 24, "dead": [1, 26], "deadlin": [58, 61, 63], "deadlinefailuredetector": 26, "deal": [1, 9, 20, 22, 33, 52, 58], "deb": 56, "debug": [17, 70], "debugg": 1, "dec": 24, "decemb": 61, "decid": [22, 26, 58], "decis": [15, 26, 33], "declar": [1, 3, 4, 15, 18, 23, 61], "declaredstat": 23, "decrypt": 38, "dedic": 70, "deduc": [12, 67], "deem": [58, 61], "default": [1, 9, 10, 11, 18, 22, 23, 24, 26, 27, 30, 32, 33, 38, 40, 51, 54], "defaultbranch": [55, 58], "defer": 26, "defin": [1, 9, 11, 12, 15, 16, 17, 18, 19, 22, 23, 24, 26, 31, 33, 39, 45, 46, 49, 51, 56, 58, 67, 71], "definit": [4, 17, 22, 23, 59], "definitiongener": 47, "delai": 26, "deleg": 51, "delet": [9, 12, 17, 22, 24, 30, 32, 54, 67], "deletenetwork": 17, "delimit": 9, "deliv": [24, 58], "deliver": [58, 61], "deliveri": [46, 56, 58], "demonstr": [56, 66], "deni": 33, "denot": [12, 24], "dep": 2, "depart": 6, "depend": [9, 10, 18, 19, 22, 24, 26, 51, 54, 55, 71], "dependeci": 52, "dependencymanag": 18, "deploi": [18, 30, 33, 55, 60, 62], "deploy": [11, 12, 30, 34, 38, 67], "deprec": [8, 18, 19], "deriv": 26, "descr": 47, "describ": [0, 9, 15, 23, 24, 26, 32, 44, 47, 53, 58, 59, 62, 65, 66, 71], "descript": [0, 4, 8, 9, 10, 15, 18, 22, 23, 24, 26, 30, 42, 48, 51, 56, 58, 61, 62, 64], "design": [1, 18, 22, 23, 52, 58, 61], "design_and_coding_guidelin": 1, "desir": [10, 12, 22, 26, 27, 32, 61, 63, 67], "destroi": 10, "destruct": 26, "detail": [1, 9, 15, 17, 19, 22, 24, 26, 30, 37, 53, 57, 58, 66, 71], "detect": [9, 15, 24], "detector": 26, "determin": [6, 11, 18, 19, 23, 24, 26, 33, 60], "dev": [1, 10, 24, 28, 55, 62], "develop": [1, 9, 15, 22, 24, 27, 28, 35, 48, 49, 51, 56, 58, 63, 65, 71], "devguid": 24, "devic": [12, 26, 31, 33, 45, 47, 48, 49, 51, 66, 67, 71], "devref": 17, "dfarrel": 24, "di": [19, 43], "diagnos": 15, "diagnost": 15, "diagram": [23, 64, 65, 66], "diagstatu": 43, "dialog": 10, "dictat": 61, "did": [12, 19, 22, 58], "didn": 19, "diff": 24, "differ": [0, 1, 9, 11, 12, 15, 18, 19, 22, 23, 24, 26, 33, 50, 51, 58, 65, 67], "differenti": 9, "difficult": [9, 58], "dir": 26, "direct": [12, 33, 48, 52, 53, 59, 67], "directionless": 51, "directli": [1, 9, 11, 15, 23, 24, 28, 53], "directori": [9, 10, 18, 26, 30, 32, 53, 55, 58, 62], "dirti": 1, "disabl": [1, 18, 26, 32, 55, 62, 63], "disagr": 9, "disagre": 1, "disallow": 23, "disappear": 8, "discard": 9, "disconnect": 46, "discov": [33, 48, 58], "discoveri": 48, "discret": 15, "discuss": [1, 19, 22, 27, 28, 33, 61], "diskjournalsegmentwrit": 40, "displai": [9, 10], "disrupt": 58, "disruptor": 18, "dissemin": 26, "distinct": 31, "distribut": [0, 6, 9, 10, 13, 18, 24, 26, 33, 35, 37, 40, 59, 61, 62, 63, 65, 68], "distributedconfigdatastor": 26, "distributedoperationaldatastor": 26, "distribution_typ": 60, "dive": [1, 11], "divers": 15, "divis": 51, "dll": 30, "do": [0, 1, 4, 9, 10, 11, 12, 15, 17, 18, 19, 20, 22, 23, 24, 26, 29, 40, 47, 51, 52, 53, 54, 58, 59, 60, 62, 63, 65, 66, 67, 71], "doa": 1, "dob": 1, "doc": [9, 17, 22, 30, 47, 55, 58, 60, 62], "document": [0, 1, 2, 3, 4, 6, 9, 12, 13, 19, 20, 23, 25, 26, 27, 30, 33, 34, 47, 53, 59, 61, 67, 68, 69, 70], "docutil": 24, "doe": [1, 2, 6, 11, 12, 17, 18, 19, 22, 23, 26, 32, 33, 37, 38, 40, 47, 51, 55, 58, 59, 63, 64, 67, 70], "doesn": 22, "doexecut": 1, "dom": [18, 23, 46], "domain": [4, 71], "domextensibleservic": 46, "domrpcrouterservic": 46, "don": [1, 9, 15, 18, 19, 22, 24, 26, 40], "done": [9, 10, 19, 22, 24, 26, 38, 40, 46, 47, 51, 52, 53, 62, 70], "dormant": 1, "dosometh": [1, 15], "doubl": [1, 20], "down": [10, 15, 22, 24, 30, 33, 40, 48, 52, 58], "download": [9, 10, 24, 35, 37, 60, 62, 65], "downstream": [6, 53, 61], "draft": [4, 23, 24, 44], "drawback": 26, "drive": [57, 58], "driven": [10, 23, 27, 31, 37], "driver": [16, 17, 27, 70], "drop": [18, 24, 26, 51, 58], "dry_run": 55, "dskiptest": [9, 55], "dto": 1, "due": [1, 19, 24, 26, 47, 51, 58, 61], "dumb": 1, "dummi": 12, "dump": 15, "duplic": [0, 15, 47], "durabl": 33, "durat": [26, 63], "dure": [0, 1, 9, 18, 22, 23, 26, 30, 46, 47, 53, 58, 62, 63], "duti": 60, "dynam": [15, 26, 33, 39, 46, 71], "e": [1, 6, 9, 11, 15, 17, 18, 19, 20, 22, 23, 24, 26, 30, 33, 42, 50, 55, 58, 60, 66, 71], "e2etest": 16, "e51e0b9": 9, "each": [0, 1, 4, 8, 9, 11, 12, 15, 16, 17, 19, 22, 23, 24, 26, 32, 48, 58, 61, 64, 67, 70], "earli": [26, 58, 62], "earlier": [1, 23, 25, 33], "eas": [9, 53], "easi": [1, 9, 19, 22], "easier": [1, 9, 19, 22, 47, 53, 71], "easiest": [9, 15, 24], "easili": [1, 9, 15, 18, 19, 20, 24, 26], "eassertequ": 22, "easymock": 22, "ecelgp": 63, "eclemma": 22, "eclips": [0, 6, 9, 10, 18, 33, 53], "ecoala": 24, "ecosystem": 18, "edit": [0, 9, 10, 11, 24], "editor": [6, 9], "editori": 24, "edoc": 24, "effect": [12, 19, 23, 26, 58], "effectivemodelcontext": 53, "effectiveschemacontext": 23, "effectivestat": 23, "effectivestatu": 52, "effort": [26, 47, 58], "eg": [26, 62], "either": [1, 4, 10, 15, 18, 22, 23, 24, 26, 33, 50, 55, 56, 58, 59, 61], "elect": 26, "element": [10, 11, 18, 23, 27, 39, 40, 47, 52], "elev": [55, 62], "elimin": [15, 17, 19, 33, 46, 52], "els": [1, 16, 19, 22, 24, 26], "elsewher": 9, "email": [9, 58, 62, 63], "emit": [23, 47], "employ": 18, "empti": [9, 23, 32, 52, 53], "emul": 51, "en": [9, 30, 33, 60], "enabl": [1, 11, 12, 18, 23, 26, 30, 31, 32, 33, 39, 45, 54, 55, 62, 63, 67], "enact": 33, "encapsul": [1, 53], "encod": [9, 10, 52], "encompass": 58, "encount": [1, 15, 19, 27], "encourag": [9, 24, 34], "encrypt": [33, 38], "end": [0, 1, 6, 9, 16, 19, 22, 24, 48, 51, 61, 71], "end2end": 19, "endpoint": 47, "enforc": [0, 18], "engin": [15, 28, 45, 51, 54, 55, 57, 62, 66], "enhanc": [24, 33], "enough": [0, 22, 58], "enqueuejob": 1, "enrich": 51, "ensur": [9, 10, 15, 18, 19, 22, 24, 28, 33, 62, 66], "enter": [10, 33, 62], "entir": [1, 10, 20, 33], "entiti": [15, 26, 33], "entri": [1, 10, 15, 23, 24, 26, 58], "enum": 23, "enumer": 53, "enunci": 24, "environ": [10, 20, 24, 26, 30, 53, 58], "envlist": 2, "epl": [0, 6, 9, 10, 18], "equal": [1, 19, 22, 23, 26, 66], "equalshashcod": 1, "equinox": [30, 33], "equival": [9, 18, 20, 24], "err": 1, "error": [9, 19, 22, 30, 47, 52], "escal": 15, "escap": 9, "especi": [1, 9, 15, 17, 24, 51, 53, 61, 66], "establish": 26, "et": 10, "etc": [8, 9, 12, 15, 18, 19, 22, 23, 26, 27, 30, 33, 50, 54, 58, 64, 67], "evalu": [58, 61], "even": [1, 9, 11, 15, 17, 19, 20, 24, 26, 32, 33, 37, 47, 59, 71], "event": [23, 26, 47, 48, 58, 61, 71], "eventlisten": 52, "eventstream": 26, "eventu": [19, 56], "eventuali": 1, "ever": [1, 6], "everi": [6, 9, 11, 12, 22, 23, 24, 26, 30, 58], "everyth": [9, 24, 58, 70], "evid": 19, "evolv": [1, 9, 33, 71], "ex": 15, "exact": [12, 17, 24, 26, 60, 67], "exactli": 56, "exam": 18, "examin": [0, 1, 15, 56], "exampl": [0, 1, 6, 9, 12, 14, 15, 16, 18, 19, 20, 23, 24, 26, 30, 31, 32, 33, 34, 42, 47, 53, 54, 55, 58, 59, 61, 64, 66, 67], "example2": 23, "exampleclass": 23, "examplemodul": 23, "exampleproject": 58, "exampleprovid": 10, "exce": 24, "exceed": 26, "except": [1, 2, 9, 15, 19, 22, 23, 24, 30, 47, 51, 53], "exception": 1, "exchang": [33, 71], "exclud": [18, 22, 26], "exclus": [24, 55, 62], "exec": 9, "execut": [1, 9, 14, 15, 18, 22, 26, 30, 51, 66], "executor": [22, 26], "exhaust": 18, "exist": [0, 1, 6, 9, 11, 18, 19, 20, 24, 26, 32, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 54, 55, 58, 59, 62], "exit": [1, 15, 26], "exp": 40, "expect": [1, 11, 12, 19, 20, 22, 23, 26, 58, 67], "expectedobj": 22, "expensivemethodtocalculates": 15, "experi": 15, "experiment": [17, 22, 40, 47, 51, 59], "experimental_featur": 24, "explain": [0, 1, 9, 15, 23, 24, 60, 62], "explicit": [1, 15, 33], "explicitli": [15, 53], "explor": [9, 26], "export": [23, 33, 41, 55, 62], "expos": [15, 26, 46, 48, 52, 71], "express": 1, "ext": [12, 67], "extend": [1, 16, 19, 20, 23, 24, 27, 40], "extens": [18, 19, 23, 24, 33, 48, 53], "extensionerror": 24, "extensiontyp": 53, "extent": 47, "extern": [1, 9, 10, 15, 19, 24, 26, 51, 58], "externalapp": 30, "extra": [19, 24, 58], "extract": 15, "extrem": 15, "ey": 20, "f": [9, 10, 17, 62, 63], "f1": 9, "f245366": 9, "f85398e": 9, "face": [11, 24, 40, 58, 59, 65], "facil": 15, "facilit": 58, "fact": [23, 66], "factori": [1, 23], "fail": [1, 9, 11, 15, 19, 20, 22, 24, 26, 46, 47, 51, 52, 58, 59, 63], "failedreadtransactionscount": 26, "failedtransactionscount": 26, "failsonerror": 18, "failur": [1, 11, 15, 22, 24, 38, 58, 63], "failuredetector": 26, "fake": 19, "fall": [24, 63], "fals": [1, 18, 23, 26, 51, 54], "familiar": [9, 15, 24, 27], "faq": [15, 24], "far": 1, "farrel": 24, "fast": 19, "faster": 33, "fastest": 24, "favorit": 24, "fd5a8185": 24, "fd5a81853e71d45945471d0f91bbdac1a1444386": 24, "feat": 18, "featur": [8, 9, 10, 16, 17, 23, 24, 26, 27, 33, 37, 56, 59, 67], "feature1": 30, "feature2": 30, "featuren": 30, "features4": 18, "featuresboot": 27, "featuresrepositori": [11, 27], "featuretest": 18, "februari": 25, "feed": [9, 15, 23], "feedback": [9, 24, 58], "feel": [1, 6, 24, 58], "felix": [18, 33], "fetch": [9, 62], "fetch_head": 9, "few": [1, 10, 19, 22, 23, 24, 26, 33, 58, 64], "fewer": 58, "ff": 9, "field": [9, 54, 58], "fieldupdat": 1, "figur": [9, 53, 71], "file": [0, 1, 2, 10, 11, 14, 15, 18, 22, 23, 24, 27, 30, 32, 33, 37, 41, 51, 55, 56, 58, 60, 62, 71], "filechannel": 40, "filechanneljournalsegmentread": 40, "filechanneljournalsegmentwrit": 40, "fileupload": 18, "fill": [16, 24, 51, 54, 58, 60], "filter": 47, "final": [1, 10, 15, 19, 23, 51, 53, 54, 61], "finalnam": 18, "find": [9, 19, 22, 24, 26, 30, 58], "find_bad_word": 9, "findmodulebynam": 23, "findmodulebynamespac": 23, "findmodulebynamespaceandrevis": 23, "fine": [15, 20], "fire": 19, "firefox": [10, 24], "first": [0, 1, 8, 9, 15, 18, 19, 20, 23, 24, 26, 32, 33, 58, 62, 68], "five": [9, 15], "fix": [1, 8, 9, 14, 15, 19, 24, 26, 33, 38, 40, 41, 42, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 58, 61], "fixm": 59, "fixup": 9, "fixvers": 8, "flag": 1, "flake8": 2, "flatter": 53, "fledg": 19, "flexibl": 33, "flip": 26, "flow": [1, 15, 22, 24, 33, 35, 37, 48, 55, 71], "fluorin": [25, 56, 58], "flush": 15, "focu": [19, 26, 56, 58], "focus": [11, 19, 33], "folder": [9, 22, 26, 33, 55, 57, 60], "folk": 58, "follow": [0, 1, 2, 6, 9, 10, 15, 18, 20, 22, 23, 24, 26, 27, 30, 31, 33, 34, 38, 39, 40, 43, 46, 47, 48, 49, 51, 52, 53, 54, 55, 57, 58, 59, 60, 62, 63, 66, 71], "followerinfo": 26, "followerinitialsyncstatu": 26, "font": 24, "foo": [15, 23, 24], "foot": 1, "footer": 9, "footprint": 1, "forbid": 1, "forbidden": [1, 4], "forc": [9, 15, 33], "forcefulli": 15, "foreach": [55, 62], "forebod": 15, "forg": 33, "forget": 19, "forgot": [19, 54], "form": [0, 1, 4, 23, 26, 27, 32, 33, 58, 60, 63, 71], "formal": [24, 27, 56, 58, 61], "format": [0, 1, 23, 47, 55, 56], "former": [1, 15], "forum": 28, "forward": [47, 48], "found": [1, 8, 9, 17, 19, 22, 23, 24, 30, 33, 47, 54, 55, 56, 57, 58], "foundat": [9, 28, 58], "four": [15, 23, 66], "fqcn": 26, "framework": [1, 9, 18, 19, 20, 22, 23, 27, 30, 33, 48, 71], "free": [1, 4, 11, 24, 58], "freez": 61, "frequenc": 51, "frequent": [0, 26, 58], "fresh": 26, "fri": 24, "from": [0, 9, 10, 11, 12, 15, 16, 17, 18, 19, 22, 23, 26, 27, 28, 33, 41, 46, 47, 48, 51, 53, 54, 55, 59, 60, 61, 62, 69, 71], "frominstanceid": 23, "fromnul": 1, "front": 24, "frozen": 63, "fulfil": 71, "full": [1, 15, 17, 19, 20, 54, 56], "fulli": [1, 9, 10, 11, 26, 39, 58], "function": [1, 12, 17, 18, 19, 22, 23, 24, 26, 27, 33, 39, 48, 49, 50, 51, 59, 64, 68, 70, 71], "fundament": 26, "further": [9, 15, 16, 19, 22, 23, 26, 30, 33, 58], "furthermor": 24, "fusesourc": 30, "futur": [15, 17, 19, 20, 46, 51, 52, 58], "g": [1, 9, 11, 15, 17, 18, 19, 20, 23, 26, 30, 33, 42, 50, 51, 58, 60, 71], "ga": 25, "garbag": 26, "gatewai": [37, 39], "gather": [58, 71], "gbp": 24, "gen": 10, "gener": [1, 5, 9, 10, 15, 18, 19, 20, 22, 23, 24, 31, 40, 42, 46, 47, 55, 58, 61, 62, 66, 68, 71], "geniu": [20, 36, 50], "genkei": 33, "gerrit": [0, 1, 7, 14, 17, 24, 54, 55, 58, 60, 62, 63], "gerrit_branch": 60, "gerund": 66, "get": [1, 10, 11, 19, 20, 22, 23, 24, 25, 26, 33, 47, 58, 61, 65], "getadminstateup": 16, "getaugment": 23, "getcaus": [1, 15], "getdatabrok": 20, "getdatachildbynam": 23, "getdatadefinit": 23, "getextens": 53, "getident": 23, "getidentifi": 52, "getimport": 23, "getinst": [17, 23, 53], "getlogg": [10, 15], "getmessag": 15, "getmodul": 23, "getnam": 10, "getnetworknam": 16, "getnetworkuuid": 16, "getqnamemodul": 23, "getresourceasstream": 23, "getresult": 23, "getrevisionformat": 23, "getrpc": 23, "getrpcservic": 46, "getshar": 16, "getstatu": 16, "getsubnet": 16, "gettenantid": 16, "getter": [1, 22], "gettingstart": 1, "getvrfentri": 1, "ggo": 1, "gh": 1, "gid": 47, "git": [1, 6, 9, 14, 17, 54, 55, 56, 58, 59, 62, 63], "gitcommitbear": 24, "gitcommitmessag": 9, "github": [1, 10, 22, 51], "githubusercont": 10, "gitmodul": 24, "gitreview": [10, 55, 58], "gitweb": [17, 63], "give": [1, 6, 9, 11, 23, 24, 26, 33, 58, 64], "given": [1, 9, 10, 19, 22, 26, 53, 58, 59, 63], "glanc": 1, "global": [47, 60], "glue": 1, "gmail": 63, "gnpy": 51, "gnu": 9, "go": [1, 4, 9, 19, 20, 23, 53, 58, 60], "goal": [1, 14, 18, 19, 24, 26], "god": 1, "goe": [26, 65], "gomez": 63, "good": [1, 9, 15, 24, 26, 61, 62, 64], "good_method": 15, "good_method1": 15, "good_method2": 15, "googl": [10, 19, 26, 57], "google_check": 1, "googlesourc": 9, "googletest": 20, "gossip": 26, "got": 1, "gpg": 62, "gpg2": 62, "gqip": 9, "gracefulli": 26, "grain": 15, "grand": 15, "grant": [33, 58, 63], "granular": [15, 26], "great": [1, 22], "greater": [26, 58], "green": 61, "greet": 10, "grep": [9, 10], "gritti": 1, "group": [1, 24, 26, 46, 47, 48, 52, 54, 63, 70], "groupid": [10, 14, 18, 19, 22], "grow": 31, "grown": 1, "gson": [18, 23], "guard": 15, "guava": [1, 18, 53], "guic": [1, 20, 21], "guicerul": 1, "guid": [1, 22, 25, 27, 28, 32, 33, 35, 47, 58, 71], "guidelin": [7, 15, 19, 24, 33], "gz": [42, 56, 62], "ha": [0, 1, 9, 12, 15, 16, 17, 18, 19, 20, 22, 23, 24, 26, 27, 30, 32, 33, 40, 46, 47, 51, 52, 55, 58, 59, 60, 62, 63, 67, 69], "half": [26, 58], "hamcrest": 18, "hand": 54, "handi": 1, "handl": [1, 16, 17, 22, 39, 49, 51, 58], "handler": [15, 51, 71], "handlewritemessag": 40, "happen": [1, 9, 12, 15, 19, 24, 26, 32, 58, 61, 66, 67], "hard": [15, 18, 19, 20, 24], "hardcod": [47, 51], "harder": 22, "hardwar": [49, 65, 71], "hash": [9, 18, 24], "hashcod": 1, "hast": 63, "have": [0, 1, 2, 4, 6, 9, 12, 15, 17, 19, 20, 22, 23, 26, 27, 30, 33, 37, 40, 46, 52, 53, 56, 58, 60, 61, 62, 63, 65, 66, 67], "hb": [17, 63], "he": 1, "head": [9, 17, 24, 55, 63], "header": [6, 9, 18, 40, 66], "headerloc": 18, "headless": 1, "heal": 26, "healthi": [26, 61], "heart": 26, "heartbeat": 26, "heavier": 20, "heavili": [12, 17], "heisenbug": 19, "hellobuild": 10, "helloprovid": 10, "helloservic": 10, "helloworldinput": 10, "helloworldoutput": 10, "helloworldoutputbuild": 10, "help": [9, 17, 18, 22, 24, 26, 29, 30, 33, 38, 51, 53, 58, 64, 65, 66, 71], "helpdesk": [28, 55, 58, 60, 62, 63], "helper": [1, 16, 19, 23], "helpmojo": 18, "henc": 1, "here": [1, 8, 9, 12, 15, 16, 18, 19, 22, 23, 24, 26, 41, 42, 44, 45, 48, 49, 50, 51, 53, 58, 65, 67, 69], "hesit": 9, "heterogen": [12, 67], "hi": 1, "hierarchi": [23, 40, 53], "high": [8, 26, 33, 51, 58], "higher": [15, 23, 26, 51], "highest": [8, 23], "highli": [19, 26, 58], "highlight": [24, 33, 58, 65], "hinder": 1, "hint": [15, 53], "histor": 58, "histori": [0, 9, 33, 58], "hit": [19, 22], "hold": [11, 12, 15], "holder": [6, 18, 23], "holidai": 61, "home": [22, 47], "homogen": 12, "hook": 9, "hope": 26, "host": [10, 24, 45, 47, 71], "hostnam": 26, "hot": 18, "hour": 62, "hous": 24, "how": [0, 1, 12, 15, 20, 22, 24, 26, 29, 33, 53, 58, 60, 62, 64, 65, 66, 67, 71], "howev": [1, 9, 19, 22, 23, 26, 30, 33], "howto": 24, "hq": 63, "html": [0, 1, 6, 9, 10, 15, 17, 18, 20, 22, 24, 30, 33, 60], "http": [0, 1, 6, 9, 11, 14, 15, 17, 18, 20, 22, 24, 30, 47, 51, 54, 57, 60, 62, 63, 70], "hub": 25, "huge": 9, "human": [23, 58], "hundr": 1, "hwvtep": 49, "hyperlink": 24, "i": [0, 1, 2, 3, 4, 6, 8, 10, 11, 12, 14, 16, 17, 18, 19, 20, 22, 23, 24, 26, 27, 28, 30, 31, 32, 33, 34, 37, 39, 40, 41, 42, 44, 45, 46, 47, 48, 49, 50, 51, 52, 54, 55, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 70, 71], "i64cd36ca044b8303cb7fc465b2d91470819a9fe6": 24, "iae": 46, "id": [1, 9, 10, 14, 18, 19, 22, 24, 26, 37, 45, 54, 58, 62], "idea": [9, 19, 22, 23, 62, 64], "ideal": [0, 1, 24, 63, 66], "ident": [12, 17, 32], "identif": 53, "identifi": [1, 4, 23, 24, 26, 30, 33, 47, 57, 58, 66], "identifiernamespac": 23, "idl": 26, "idm139646384633952": 33, "idmanag": 19, "idmanagerservic": 19, "idmanagerservicea": 19, "ietf": [4, 44, 47, 52], "ignor": [15, 23, 47], "illegalargumentexcept": 1, "illegalstateexcept": [1, 19], "illustr": [18, 19, 22], "imag": 24, "imagin": [19, 20], "imho": 19, "immedi": [19, 26, 52], "immediatefailedfutur": 20, "immut": [23, 52], "immutablemap": 23, "immutablenod": 23, "immutablenormalizednodestreamwrit": 23, "immutableoffsetmaptempl": 52, "immutableset": 23, "impact": [1, 12, 15, 23, 58], "impair": 51, "imper": [0, 24], "impl": [10, 19, 22, 23], "implement": [1, 9, 11, 12, 15, 16, 17, 19, 23, 26, 27, 33, 39, 40, 47, 48, 49, 52, 53, 58, 70, 71], "import": [1, 2, 8, 9, 10, 15, 18, 19, 20, 22, 23, 24, 26, 28, 33, 41, 48, 51, 58], "import_ord": 1, "importantli": 1, "impos": 58, "imposs": [1, 9, 20], "improv": [8, 9, 26, 33, 38, 40, 41, 42, 44, 45, 46, 48, 49, 50, 51, 52, 58], "inact": [26, 58], "inadequ": 58, "inc": 10, "incl": 43, "includ": [1, 3, 9, 10, 12, 15, 18, 20, 24, 26, 27, 33, 37, 39, 42, 43, 45, 51, 53, 54, 56, 58, 59, 63, 64, 65, 66, 67, 70], "includeresourc": 18, "includetestresourc": 18, "inclus": 24, "incompat": [12, 23, 24, 30, 67], "inconsist": [15, 63], "inconsistentoverload": 1, "incorrect": 47, "incorrig": 15, "increas": [26, 66], "increment": 23, "inde": [19, 22], "indent": 1, "independ": [12, 24, 32, 51, 56, 58, 60, 67], "index": [17, 22, 24, 26, 56, 59], "indexoutofboundsexcept": 1, "indic": [1, 9, 15, 19, 22, 23, 26, 28, 30, 63, 71], "indirect": [12, 67], "indistinguish": 26, "individu": [0, 1, 6, 18, 19, 24, 26, 28, 33, 53, 63, 70], "inetvirtsfcof13provid": 1, "ineutron": 16, "ineutronnetworkawar": 17, "inf": [10, 30], "infer": 23, "inferior": 1, "inflat": 30, "influenc": [12, 26, 66, 67], "info": [1, 9, 10, 18, 26, 30, 59], "inform": [0, 1, 9, 10, 14, 15, 18, 22, 23, 24, 26, 27, 30, 33, 38, 48, 53, 56, 58, 64, 65, 66, 71], "infra": [2, 50], "infrastructur": [11, 20, 28, 35, 40, 46, 50, 51], "infrautil": [1, 13, 35, 36, 37, 53, 58, 61], "inherit": [1, 18], "ini": [2, 9], "init": [9, 10, 22, 24, 54, 62], "initi": [1, 10, 11, 12, 15, 17, 18, 19, 22, 23, 26, 37, 47, 61, 67, 70], "inject": [19, 43], "inlin": [15, 24], "inmemorydatatre": 23, "inmemorydatatreefactori": 23, "inmemoryjournaldatas": 26, "inmemoryjournallogs": 26, "inner": [1, 19, 20, 53], "input": [10, 15, 16, 19, 20, 23, 26, 32], "inputstream": 23, "ins": 65, "insert": [9, 47, 55], "insid": [1, 9, 19, 22, 24], "insight": 11, "inspect": 22, "instabl": 26, "instal": [2, 9, 10, 11, 12, 14, 18, 22, 24, 26, 27, 29, 31, 33, 34, 38, 51, 55, 62, 67], "installing_opendaylight": 24, "instanc": [1, 4, 16, 18, 22, 23, 24, 26, 32, 33, 37, 40], "instanceidentifi": 17, "instanti": [1, 16, 22, 23, 46, 47, 53], "instead": [0, 9, 11, 15, 18, 19, 22, 24, 26, 51, 53, 58, 66], "instruct": [24, 26, 30, 34, 58, 65], "instrument": 15, "int": [15, 24], "integ": 26, "integr": [13, 15, 16, 17, 18, 22, 23, 24, 35, 43, 56, 59, 61, 63, 64, 65, 66, 70], "intellig": [22, 71], "intellij": 1, "intend": [1, 11, 18, 22, 23, 24, 61], "intent": [1, 61], "intention": 33, "inter": 39, "interact": [9, 15, 20, 22, 24, 27, 30, 33, 46, 53], "intercept": 48, "interdepend": 9, "interest": [1, 9, 22, 26, 34], "interfac": [1, 9, 10, 15, 16, 17, 19, 20, 22, 23, 27, 31, 46, 51, 53, 65, 67, 70, 71], "interfacemanag": 19, "interfacemanagerconfigurationtest": 19, "interfacemanagertestutil": 19, "interfer": [22, 58], "intermedi": 1, "intermitt": 47, "intern": [12, 15, 19, 33, 51, 53, 66], "internation": 15, "internet": 33, "interoper": 51, "interpret": [1, 9, 15, 24], "interrel": 20, "interv": 26, "intim": 24, "intra": 39, "intro": 9, "introduc": [1, 2, 41, 42, 44, 45, 48, 49, 50, 52, 53], "introduct": [24, 29], "invalid": [47, 59], "inventori": [9, 26, 51], "invest": 71, "investig": 33, "invoc": [22, 46], "invok": [9, 10, 22, 23], "involv": [18, 53, 58, 59], "io": [1, 18, 51], "ip": [10, 26], "ip_of_member1": 26, "ipsec": 24, "ipv4": 24, "ipv6": 24, "ir": 52, "irc": [28, 58, 63], "irccloud": 28, "irregular": 15, "irrespect": 71, "isdebugen": 15, "island": 26, "isn": [1, 24], "isol": [22, 26, 30, 33], "ispres": 1, "issu": [1, 6, 8, 10, 14, 15, 18, 22, 26, 30, 33, 58], "issue3": 9, "ital": 24, "item": [12, 47, 58, 61], "iter": 47, "itestutil": 43, "itneutrone2": 16, "its": [1, 4, 9, 12, 15, 17, 18, 19, 22, 23, 24, 26, 40, 46, 53, 59, 67, 70, 71], "itself": [1, 9, 12, 17, 23, 26, 32, 64, 67, 70], "jaa": 33, "jackson": 18, "jamo": 63, "jamoluhrsen": 63, "jar": [1, 18, 71], "jarfil": 33, "java": [5, 9, 10, 14, 16, 17, 18, 19, 22, 23, 24, 30, 31, 33, 37, 39, 46, 48, 50, 52, 53, 64, 71], "java18": 14, "java_hom": 53, "java_max_mem": 26, "java_max_perm_mem": 26, "javadoc": [9, 18, 22, 23, 24, 35, 64], "javaguid": 1, "javax": 23, "jax": [18, 47], "jaxbtest": 16, "jaxen": 23, "jcl": 15, "jconsol": 26, "jdbc": 18, "jdepend": 18, "jdk": [1, 4, 10, 37, 55], "jdk8": 1, "jdt": 53, "jenkin": [2, 18, 24, 54, 58, 60, 62, 63], "jeopard": 58, "jersei": 18, "jetbrain": 1, "jetti": [18, 33], "jgrapht": 51, "jira": [7, 9, 41, 42, 44, 45, 48, 49, 50, 58, 61], "jira_fixed_issu": 24, "jira_known_issu": 24, "jit": 15, "jjb": 60, "jl": 1, "jluhrsen": 63, "jmx": [26, 33], "jnilib": 30, "job": [0, 11, 19, 54, 59, 60, 62, 63], "jobcoordinatoreventswait": 19, "join": [26, 27, 58], "jolokia": 26, "journal": [26, 32, 40], "jre": 30, "json": [10, 13, 18, 22, 23, 32, 35, 37, 52, 68], "jsr": 1, "judg": [6, 58], "judgement": 6, "juggl": 1, "jul": 15, "june": 24, "junit": [1, 18, 21, 51], "junit4": 22, "junit5": [22, 52], "juno": 47, "juo": 1, "just": [0, 1, 9, 11, 12, 15, 19, 20, 22, 23, 24, 26, 58, 66, 67], "justifi": [1, 61], "jvm": [15, 23, 33, 37, 71], "k": [1, 9, 33], "kar": 18, "karaf": [1, 10, 15, 17, 20, 24, 26, 27, 37, 42, 50, 51, 58, 62, 65, 67, 70], "karaf_featur": 24, "karaf_hom": [12, 26, 32, 67], "keep": [1, 9, 17, 20, 22, 24, 53, 58], "kei": [1, 9, 15, 23, 31, 33, 38, 40, 46, 47, 51, 52, 53], "kept": [24, 26, 33], "keyalg": 33, "keyawar": 53, "keyedbuild": 53, "keyedinstanceidentifi": 53, "keystor": 33, "keytool": 33, "keyword": [22, 23], "kick": [19, 58, 62], "kind": [1, 24, 33], "know": [1, 4, 12, 15, 19, 20, 24, 27, 58, 66, 67, 71], "knowledg": [9, 15, 66], "known": [26, 30, 33], "kubernet": 26, "l": [10, 24, 30, 33, 71], "l2": 50, "l2switch": [24, 66], "l3": 50, "lab": 30, "label": [24, 58], "lack": [19, 58], "lang": [15, 18, 33, 53], "lang3": 18, "languag": [0, 15, 23, 24, 37], "larg": [1, 11, 26, 47, 58], "larger": 26, "largest": 11, "last": [9, 15, 23, 26, 33, 47, 58, 61], "lastappli": 26, "lastcommittedtransactiontim": 26, "lastindex": 26, "lastleadershipchangetim": 26, "lastlogindex": 26, "lastlogterm": 26, "lastnp": 1, "lastterm": 26, "later": [1, 10, 22, 37, 56, 58, 60, 61], "latest": [9, 18, 22, 30, 33, 51, 58, 60, 62], "latitud": 58, "latter": 1, "launch": [22, 33, 54, 60], "launchpad": 70, "lawyer": 6, "layer": [27, 31, 33, 37, 47, 51, 66, 71], "layout": [46, 66], "lead": [1, 11, 19, 22, 26, 66], "leader": 26, "leadershipchangecount": 26, "leaf": [10, 12, 23, 47, 52], "leafbuild": 23, "leafnod": 23, "leafsetentrynod": 23, "leafsetnod": 23, "learn": 33, "least": [1, 6, 9, 12, 15, 24, 53, 58, 59, 67], "leav": [26, 54, 60, 62], "leewai": 58, "left": [6, 17, 54, 59], "legaci": [15, 17, 20], "legal": [0, 6, 10, 18], "length": [0, 1, 2, 9], "less": [1, 24, 26, 51, 58, 64], "let": [1, 9, 15, 19, 20, 23], "letter": 33, "level": [1, 10, 18, 20, 22, 24, 33, 43, 51, 58, 66], "leveldb": [18, 30], "leveldbjni": 30, "leverag": [19, 45, 48, 49, 51], "lexic": 23, "lf": [9, 28, 60], "lfn": [7, 9, 58], "lftool": [55, 62], "lhotka": 23, "libdoc": 24, "libera": 28, "libleveldbjni": 30, "librari": [1, 15, 18, 20, 22, 23, 24, 39, 47, 48, 52], "licens": [0, 7, 10, 18], "life": [1, 23, 57, 58, 61], "lifecycl": [19, 58], "lift": 63, "light": 20, "lighti": 51, "lightweight": [9, 27, 33, 44], "lightynod": 51, "like": [1, 4, 6, 9, 15, 18, 19, 20, 22, 23, 24, 26, 28, 32, 33, 35, 54, 58, 64, 70, 71], "limit": [0, 9, 15, 26, 30, 51], "line": [0, 2, 9, 10, 11, 15, 19, 20, 24, 26, 51], "linefe": 9, "link": [9, 10, 18, 24, 26, 34, 39, 41, 42, 44, 45, 48, 49, 50, 57, 58, 59, 63, 64, 71], "lint": 24, "linter": 24, "linux": [9, 10, 24, 28, 30, 58, 63, 65], "linux32": 30, "linux64": 30, "linuxfound": [9, 60], "lisp": [31, 35, 37, 70], "lispflowmap": 36, "list": [1, 8, 9, 12, 15, 16, 18, 22, 23, 24, 26, 28, 33, 38, 39, 40, 43, 46, 47, 51, 52, 53, 55, 58, 62, 63, 64], "listen": [1, 16, 17, 19, 26, 40, 46, 51, 71], "listenablefutur": 10, "listenerregistr": 17, "liter": [1, 15], "littl": [1, 9, 58], "live": [1, 15, 26], "ll": [1, 19, 28], "lldp": 48, "lmax": 18, "load": [10, 23, 26, 33, 45, 71], "loader": 20, "local": [10, 12, 18, 19, 24, 26, 33, 53, 55, 60, 67], "localfeatur": 18, "localhost": [10, 33], "localshard": 26, "localsnapshotstor": 26, "locat": [1, 10, 12, 18, 19, 22, 24, 26, 45, 62, 67], "lock": [26, 33, 47, 58, 61, 62, 63], "log": [0, 1, 6, 9, 10, 13, 17, 18, 19, 24, 26, 51, 55, 56, 60, 62, 65, 70], "log_dir": 60, "logback": 18, "logger": [1, 10, 16], "loggerfactori": [10, 15], "logging_perform": 15, "logic": [15, 22, 23, 24, 26, 33, 47, 51, 64, 71], "logicaldatastoretyp": [1, 17], "login": [9, 33, 47], "logout": 30, "lombok": 1, "long": [1, 18, 19, 24, 26, 40, 53, 58, 71], "longer": [1, 18, 22, 47, 51, 53], "longev": 58, "look": [1, 9, 19, 20, 22, 24, 26, 30], "lookup": 53, "loop": 22, "lose": 26, "lost": [9, 15, 26], "lot": [10, 15], "low": [8, 43, 58], "lower": [1, 10, 23], "lowest": [8, 26], "lsp": 39, "luhrsen": 63, "lui": 63, "luisgomez": 63, "lz4": 26, "m": [1, 9, 55, 59], "m2": 10, "m3": [24, 65], "m4": 24, "mac": [9, 10], "macaddressfor": 53, "machin": [10, 26, 33, 37], "macosx": 30, "made": [0, 1, 6, 9, 10, 12, 15, 17, 18, 19, 23, 24, 26, 52, 67], "magic": [9, 19, 20], "magnesium": [1, 9, 10, 52], "mai": [0, 1, 9, 11, 15, 17, 19, 20, 22, 24, 26, 28, 30, 32, 33, 37, 51, 54, 58, 59, 64], "mail": [18, 22, 24, 28, 33, 58, 62], "mailbox": 26, "main": [1, 9, 10, 16, 17, 18, 26, 33, 53, 58, 70, 71], "mainli": [15, 18], "mainstream": 9, "maintain": [1, 11, 23, 24, 26, 27, 44, 51, 57], "maintaintan": 47, "mainten": 50, "major": [15, 23, 24, 31, 32, 33, 38, 39, 58, 62], "make": [0, 1, 4, 6, 9, 10, 11, 12, 15, 19, 20, 22, 23, 26, 33, 37, 53, 55, 58, 59, 71], "makefil": 24, "malfunct": 26, "manag": [0, 1, 18, 22, 24, 26, 27, 31, 32, 33, 39, 40, 42, 48, 51, 53, 57, 61, 63, 71], "mandatori": [9, 53, 65], "mang": 58, "mani": [1, 9, 11, 20, 24, 33, 51], "manifest": 24, "manipul": 26, "manner": [33, 58], "manual": [1, 9, 15, 19, 24, 26, 33, 51, 62], "map": [1, 4, 18, 23, 35, 37, 47, 52, 53], "mapentrybuild": 23, "mapentrynod": 23, "mapnod": 23, "mapper": 70, "margin": 26, "mark": [0, 1, 22, 23, 58], "markdown": 24, "marker": 9, "market": 58, "markup": 24, "martinfowl": 20, "massiv": [1, 9], "master": [1, 9, 10, 14, 24, 51, 54, 55, 58, 60], "match": [1, 10, 22, 30, 61], "matchindex": 26, "materi": [0, 6, 10, 18], "matter": [23, 24, 26, 32], "matur": [56, 58], "maven": [1, 9, 10, 12, 14, 18, 37, 53, 55, 59, 60, 71], "max": [2, 26], "maxdepth": 24, "maxim": 58, "maximum": 26, "mayb": 33, "mbean": 26, "md": [9, 10, 12, 16, 17, 18, 20, 24, 26, 27, 31, 35, 37, 50, 64, 67], "mdsal": [10, 18, 20, 26, 36, 46, 47, 53, 58, 61], "mdsalapimanag": 19, "mdsalutil": 1, "me": [15, 19], "mean": [1, 4, 9, 11, 15, 19, 22, 24, 26, 33, 51, 61], "meant": 1, "meanwhil": [9, 33], "measur": 26, "mechan": [17, 19, 22, 26, 33, 70], "media": 10, "medium": 8, "meed": 59, "meet": [24, 27, 28, 58, 59, 61], "meld": 9, "member": [9, 12, 22, 26, 58, 67], "membernam": 26, "membership": 26, "memori": [23, 26, 47], "mention": [23, 24, 59], "menu": 54, "merg": [0, 1, 9, 24, 55, 58, 59, 60, 61, 62, 63], "messag": [1, 19, 22, 26, 27, 33, 45, 47, 51, 66], "messi": 22, "met": [23, 63], "meta": [23, 30], "metadata": 12, "metadataextens": 53, "meter": 48, "method": [9, 10, 12, 19, 20, 22, 23, 46, 47, 53, 60], "methodparampad": 1, "methodrul": 19, "metric": 26, "mi": 47, "micro": [1, 25], "microservic": [27, 31], "middl": [58, 61], "midnight": 61, "might": [9, 24, 26, 33, 51, 64], "migrat": [1, 18, 51, 52], "mileston": 61, "milli": 26, "min": [26, 47], "mind": [19, 22], "minim": [2, 11, 33, 51, 58], "minimalist": 19, "minimum": [6, 19, 26], "minor": [9, 15, 23, 24, 26, 58], "minut": [10, 26, 58, 61], "misbehav": 15, "miss": [1, 2, 6, 47, 58, 59], "mitig": [15, 33], "mix": [1, 15, 24], "mkvirtualenv": 62, "ml2": [17, 70], "mock": [1, 19, 20], "mockito": [18, 19, 22], "mockitojunitrunn": 20, "mocksarentstub": 20, "mod": 48, "mode": [9, 12, 23, 24, 47, 51], "model": [3, 10, 16, 18, 22, 24, 27, 31, 32, 33, 37, 44, 47, 48, 49, 51, 52, 58, 70, 71], "modelstat": 23, "modern": [1, 47, 53], "modif": [9, 17, 23, 26, 62, 63], "modifi": [1, 6, 12, 14, 15, 17, 22, 24, 26, 33, 54, 55, 67], "modifierord": 1, "modul": [0, 1, 11, 12, 18, 19, 20, 23, 24, 26, 47, 51, 52, 53, 59, 67, 71], "modular": [22, 34], "moduleimport": 23, "moduleqnam": 23, "mojo": 14, "monitor": [15, 31, 66], "month": [58, 61], "mood": [0, 24], "moon": 38, "more": [0, 1, 9, 19, 20, 22, 23, 24, 26, 27, 31, 33, 47, 53, 55, 56, 58, 64, 65, 71], "moreansw": [19, 20], "most": [0, 1, 9, 12, 18, 20, 22, 24, 26, 33, 58, 67], "mostli": [1, 58], "mount": [12, 47, 53, 67], "mountpointextens": 53, "mountpointidentifi": 53, "mountpointlabel": 53, "move": [9, 16, 19, 26, 46, 69], "mpl": 51, "mri": [53, 58, 61, 63], "msa": 51, "msdo": 9, "msg": 9, "msi": [53, 58, 61], "much": [1, 9, 15, 20, 31, 33, 47, 53, 58], "multi": [1, 51, 53, 55], "multipl": [0, 1, 12, 22, 23, 24, 30, 47, 59, 67, 71], "multiplex": 51, "must": [1, 6, 9, 15, 18, 19, 22, 23, 24, 26, 30, 33, 45, 53, 58, 60, 61, 65], "mutabl": 1, "muxpond": 51, "mv": 9, "mvn": [9, 10, 14, 18, 22, 30, 53, 55, 58, 60], "mvn35": 62, "my": 9, "my_login": 9, "my_shopping_list": 9, "myidmanag": 19, "n": [9, 10, 26, 61], "name": [1, 2, 6, 8, 9, 10, 11, 12, 15, 18, 23, 24, 26, 27, 30, 32, 33, 47, 53, 54, 55, 59, 60, 63, 65, 67], "namespac": [3, 9, 10, 23, 47], "nat": 50, "nativ": 30, "natur": 1, "navig": [1, 10, 30, 69], "nb": [19, 20, 56], "nbi": 51, "nbinotif": 51, "necessari": [1, 2, 9, 17, 18, 33, 37, 39, 55, 60, 61, 62, 70], "necessarili": [9, 20], "need": [0, 1, 4, 6, 9, 12, 15, 17, 18, 19, 22, 23, 24, 26, 28, 32, 37, 53, 54, 55, 58, 59, 61, 62, 67, 70, 71], "neg": 59, "neither": [33, 63], "neon": 58, "net": [1, 18, 70], "netconf": [12, 24, 31, 33, 35, 37, 51, 53, 58, 67], "netconfdevicemount": 47, "netconfdeviceschema": 47, "netmod": 23, "netopeer2": 47, "netti": [18, 40], "netvirt": [19, 31, 36, 50, 58, 70], "network": [9, 10, 11, 12, 15, 16, 17, 26, 27, 30, 31, 33, 34, 45, 47, 50, 51, 66, 67, 70, 71], "networkbuild": 16, "networkmodelservic": 9, "neutron": [13, 24, 36, 68], "neutroncrudinterfac": 16, "neutroniawareutil": 17, "neutronlogg": 17, "neutronnetwork": 16, "neutronnetworkchangelisten": 17, "neutronnorthbound": 70, "neutronnorthboundrsappl": 16, "neutronobject": 16, "neutrontranscriberprovid": 16, "never": [1, 6, 9, 15, 20, 24, 26, 54, 61], "new": [1, 8, 10, 11, 12, 15, 17, 18, 19, 20, 22, 23, 24, 26, 27, 33, 55, 58, 71], "newbuild": 23, "newcom": 7, "newer": [0, 1, 9, 25], "newest": 23, "newhash": 9, "newinst": [20, 23], "newli": [15, 16, 23], "newmodif": 23, "next": [1, 9, 10, 22, 23, 25, 26, 51, 55, 58, 61, 62, 63], "next_releas": 55, "nextindex": 26, "nexu": [54, 55, 58, 59, 60, 62], "nice": 22, "nicer": 1, "nicira": 48, "nightmar": 1, "niosocketchannel": 47, "nite": 63, "nitrogen": [18, 25, 59, 62], "nitti": 1, "nnnnn": 9, "nnnnnnnnnnn": 9, "node": [11, 12, 17, 32, 45, 47, 52, 53, 67], "nodeidentifi": 23, "nodeidentifierwithpred": 23, "nodewithvalu": 52, "nodown": 26, "nois": 1, "nomin": 61, "non": [6, 9, 15, 19, 22, 23, 26, 51, 58, 59, 62], "none": [8, 26, 53], "nonnul": 1, "nor": [9, 24, 33], "norhtbound": 16, "normal": [1, 9, 15, 19, 24, 47, 58], "normalizationresult": 53, "normalizationresulthold": 53, "normalizedmetadata": 53, "normalizedmountpoint": 53, "normalizednod": [23, 52, 53], "normalizednodecontainerbuild": 23, "normalizednoderesult": [23, 53], "normalizednodestreamwrit": [23, 53], "normalizednodestreamwriterextens": 53, "north": [39, 71], "northbound": [12, 13, 17, 33, 38, 45, 47, 51, 67, 70, 71], "northnound": 47, "not_integr": 58, "notabl": [15, 18, 22], "note": [0, 2, 3, 4, 6, 9, 11, 15, 18, 19, 20, 22, 24, 25, 26, 30, 33, 35, 53, 55, 58, 60, 62], "notepad": 9, "noth": [1, 17, 26], "notic": [22, 23], "notif": [9, 17, 26, 40, 44, 46, 47], "notifi": [17, 27, 55, 62, 63], "notificationlisten": [40, 46], "notificationservic": 46, "now": [1, 9, 18, 19, 22, 23, 26, 27, 47, 52, 53], "nowadai": 1, "npe": 47, "nr": 26, "nsu": 53, "null": [16, 22, 23, 24, 26, 51], "nullawai": 1, "nullpointerexcept": 1, "number": [1, 9, 12, 18, 20, 22, 23, 26, 46, 58, 62, 63], "o": [9, 10, 30, 33, 53], "obj": [1, 53], "object": [1, 15, 16, 17, 22, 23, 24, 31, 46, 53, 71], "observ": [11, 22, 26], "obtain": 33, "obviou": 19, "obvious": [1, 20], "oc": 51, "occasion": 26, "occur": [9, 15, 24, 26, 30], "occurr": [9, 26], "octob": 25, "od": 24, "odc": 24, "odl": [1, 9, 10, 12, 13, 16, 17, 19, 20, 24, 25, 26, 30, 32, 33, 34, 38, 40, 42, 47, 50, 51, 54, 58, 59, 62, 65, 67], "odl4": 18, "odl_check": 1, "odl_gerrit_patch": 58, "odl_project": 58, "odl_releas": 58, "odlpar": [1, 10, 12, 14, 36, 53, 58, 59, 61, 67], "odltool": 26, "off": [9, 24, 26, 32, 62], "offer": [1, 11, 23, 24, 39], "offici": [1, 51, 63], "offlin": [15, 53], "often": [9, 19, 22, 26, 27], "ok": 1, "okai": 15, "old": [1, 9, 15, 24], "older": [1, 23], "olm": [9, 51], "omit": [18, 24], "onap": 42, "onc": [9, 22, 23, 26, 58, 60, 61, 62, 63], "ondatachang": 17, "one": [1, 9, 11, 12, 15, 16, 17, 19, 22, 23, 24, 26, 27, 32, 33, 51, 58, 59, 60, 61, 63, 64, 66, 67, 70], "ones": [1, 9, 24], "onf": 48, "ongo": 9, "onli": [2, 4, 6, 8, 9, 11, 12, 15, 17, 18, 19, 20, 22, 23, 24, 26, 32, 33, 37, 39, 47, 54, 55, 61, 62, 63, 64, 65, 66, 67, 70], "onto": 9, "oo": 22, "open": [9, 24, 26, 31, 33, 34, 37, 47, 54, 58, 71], "openapi": [10, 47], "openconfig": 51, "opendaylight": [0, 1, 6, 11, 12, 13, 15, 16, 17, 18, 19, 20, 22, 23, 24, 26, 28, 29, 32, 37, 38, 39, 40, 41, 42, 43, 44, 45, 48, 49, 50, 51, 53, 54, 58, 59, 60, 61, 63, 64, 66, 67, 70], "opendev": [17, 70], "openflow": [24, 31, 33, 37, 50, 71], "openflowplugin": [24, 31, 35, 36], "openjdk": [14, 53], "openjdk11": 62, "openjdkv": 62, "openroadm": 51, "openstack": [0, 9, 16, 17, 24, 31, 58, 61, 70], "openvswitch": 24, "oper": [1, 9, 10, 11, 15, 23, 24, 26, 27, 30, 31, 32, 33, 39, 47, 50, 51, 52, 53, 57, 66, 68, 71], "opflex": [25, 62], "opnfv": [34, 61], "opportun": [22, 61], "oppos": [1, 12, 62], "ops4j": 33, "optic": 51, "optim": [15, 51], "option": [0, 9, 10, 17, 18, 20, 22, 23, 24, 31, 33, 52, 53, 56, 61, 65, 66], "orchestr": [51, 58, 71], "order": [9, 11, 12, 15, 19, 22, 23, 24, 26, 53, 55, 58, 67], "orderedleafsetnod": 23, "orderedmapbuild": 23, "orderedmapnod": 23, "orderli": 15, "ordinari": [23, 26], "orels": 1, "org": [0, 6, 9, 10, 11, 14, 15, 16, 17, 18, 19, 20, 22, 23, 24, 26, 27, 28, 30, 33, 43, 51, 53, 54, 58, 60, 62, 63, 70], "organ": [1, 6, 18, 24, 33], "organiz": 33, "orig": 10, "origin": [6, 9, 10, 14, 39, 55, 62], "ornul": 1, "osgi": [10, 18, 19, 20, 24, 30, 37, 71], "osgicommandsupport": 1, "osgiservic": 19, "osnam": 30, "osx": 30, "other": [1, 6, 8, 9, 10, 11, 12, 15, 16, 17, 18, 20, 22, 23, 24, 26, 30, 31, 32, 33, 40, 43, 53, 54, 58, 59, 61, 64, 65, 66, 67, 71], "other_featur": 24, "otherwis": [1, 9, 23, 24, 26, 33, 58, 59, 61, 65], "otn": 51, "ou": 33, "our": [15, 18, 19, 22], "out": [9, 10, 14, 17, 19, 22, 24, 26, 33, 48, 52, 53, 58, 59, 62, 71], "outag": 26, "outcom": 19, "outofmemoryerror": 15, "output": [0, 1, 10, 20, 22, 26, 52], "outsid": [11, 19, 23, 30, 60], "ov": 49, "over": [1, 20, 26, 33, 47, 58, 71], "overal": [1, 65], "overhead": 15, "overlap": [53, 61], "overli": 24, "overlin": 24, "overload": [23, 26], "overrid": [1, 10, 12, 17, 18, 19, 20, 55, 62], "overstretch": 20, "overus": 1, "overview": [1, 22, 24], "overwrit": 15, "ovsdb": [13, 24, 31, 35, 36, 37, 68], "own": [6, 9, 15, 16, 17, 19, 22, 24, 26, 58, 59], "owner": 9, "ownership": [6, 26], "oxygen": [25, 30], "p": [9, 17, 63], "p4": 31, "pacif": 61, "pack": 24, "packag": [1, 9, 10, 14, 15, 18, 23, 24, 33, 35, 56, 62, 65, 71], "packet": 48, "packet_in": 33, "page": [1, 9, 19, 22, 24, 28, 30, 55, 58, 59, 60, 62], "pair": [9, 15], "pancak": 9, "pantheontech": 51, "paragraph": [9, 24], "parallel": [9, 22, 62], "param": 10, "paramet": [1, 2, 9, 19, 26, 32, 40, 47, 54, 60], "parent": [1, 12, 13, 14, 22, 23, 52], "parentcontainernod": 23, "parentcontainerqnam": 23, "parenthes": 55, "parentkeyleafqnam": 23, "parentorderedlistentrynod": 23, "parentorderedlistnod": 23, "parentorderedlistqnam": 23, "parentordinaryleafqnam": 23, "pariti": 47, "pars": [23, 24, 47, 52], "part": [1, 9, 15, 24, 26, 33, 53, 54, 56, 58, 59, 61, 62, 68], "parti": [15, 18, 23], "partial": [19, 20, 47], "particip": [48, 59, 62], "particular": [9, 15, 16, 18, 19, 23, 24, 26, 31, 58, 59, 61], "particularli": [1, 9, 58], "partit": 26, "pass": [1, 15, 18, 20, 22, 23, 59, 63], "password": [10, 33, 47], "past": [1, 9, 11], "patch": [0, 14, 23, 24, 47, 53, 54, 55, 58, 60, 61, 62, 63], "patch_url": 62, "path": [9, 17, 23, 24, 26, 32, 39, 47, 51, 60], "path1": 23, "path2": 23, "pathsstream": 47, "pattern": [1, 15, 22, 46, 71], "paus": [22, 26], "pax": [18, 33], "payload": 47, "pce": [37, 39], "pcep": [31, 37], "pdf": 24, "pedant": 24, "peer": [26, 39], "peeraddress": 26, "peervotingst": 26, "pend": 58, "pendingtxcommitqueues": 26, "peopl": [0, 1, 6, 9, 15, 20, 24], "pep8": 2, "per": [17, 22, 24, 33, 58, 59, 61], "percentag": 26, "perfectli": [1, 20], "perform": [1, 9, 10, 15, 22, 23, 26, 33, 40, 53, 55, 57, 60, 62, 63, 66], "perhap": [1, 19, 20, 28], "period": [0, 9, 15, 26, 48], "permiss": [33, 55, 62, 63], "permissionadmin": 33, "persist": [15, 18, 20, 26, 29, 51], "person": [6, 19, 24, 58], "personnel": 15, "phase": [14, 18, 22, 23, 26], "phosphoru": [51, 55], "phrase": 59, "physic": [26, 33, 45], "pick": 51, "pickup": 10, "piec": [22, 24, 51], "pin": [15, 47], "ping": 62, "pip": [55, 62], "pipe": [1, 9], "pipelin": 56, "pkcs12": 33, "pkiutil": 38, "pkval1": 23, "place": [1, 6, 19, 22, 23, 24, 26, 32, 58], "placehold": 42, "placement": 15, "plai": 31, "plain": 47, "plan": [1, 24, 27, 30, 58], "plane": 33, "platform": [10, 27, 31, 33, 34, 58], "pleas": [1, 4, 6, 9, 18, 22, 26, 30, 54, 58, 66, 69, 70], "pleasant": 4, "plfval1": 23, "plu": 23, "plug": 65, "plugin": [1, 9, 10, 16, 18, 31, 37, 45, 47, 49, 50, 70, 71], "pluginrepositori": 54, "plural": 18, "pm": 58, "point": [1, 9, 10, 11, 12, 15, 17, 20, 22, 23, 24, 26, 47, 51, 53, 58, 59, 65, 67], "pointer": 51, "polici": [33, 45, 70], "pollut": 9, "pom": [1, 10, 12, 14, 22, 55, 56, 58, 59, 60, 71], "pool": [22, 26], "popul": 17, "port": [11, 17, 19, 26, 48, 51], "portabl": 37, "portmap": [9, 51], "posit": [26, 40, 65], "possibl": [1, 4, 6, 9, 15, 22, 26, 33, 58], "possibli": [1, 15, 26, 59], "post": [0, 9, 10, 24, 26, 32, 33, 47, 63], "postconstruct": 19, "postur": [33, 38], "potassium": 37, "potassiumsr1": 51, "potassiumsr2": 51, "potenti": [9, 26, 58], "power": [33, 63], "powermock": 18, "pq": [18, 53], "practic": [1, 15, 19, 22, 24], "pre": [1, 9, 27, 51, 52, 55, 58, 63], "precaut": 26, "preced": [0, 11], "precondit": 1, "predestroi": 19, "predic": 33, "prefer": [1, 19, 58], "prefix": [9, 10, 23, 24, 58], "prematur": 26, "prep": 62, "prepar": [23, 61], "prescrib": [12, 56], "presenc": [9, 58], "present": [1, 9, 12, 15, 16, 19, 24, 26, 33, 51, 58, 63, 67], "preserv": 9, "press": [9, 30], "pretti": [0, 1, 24], "prevent": [1, 15, 24, 53, 62], "previou": [0, 9, 10, 15, 24, 33, 58], "previous": [1, 24, 52, 53, 62], "price": 26, "primari": [15, 22, 26, 51], "prime": 55, "primer": 24, "principl": [9, 10, 18], "print": [1, 22], "println": [1, 22], "printstacktrac": 1, "prioriti": 8, "privat": [1, 9, 10, 15, 17, 19, 33], "pro": 11, "proactiv": 58, "probabl": [1, 9, 15, 19, 20, 26, 66], "problem": [1, 15, 19, 24, 26, 53, 58], "problemat": 61, "proce": 9, "procedur": [9, 10, 17, 33, 44, 65, 66], "process": [9, 10, 11, 15, 18, 23, 24, 26, 27, 32, 33, 35, 47, 48, 56, 60, 62], "processmodul": 47, "processor": 30, "produc": [1, 11, 18, 23, 24, 58, 60], "product": [1, 11, 65], "prof": 1, "profil": [9, 18, 54, 62], "profit": 6, "program": [0, 1, 6, 10, 15, 18, 26], "programm": [39, 71], "programmat": 31, "progress": [0, 1, 2, 3, 4, 6, 9, 19, 20, 59], "prohibit": 9, "project": [0, 2, 6, 8, 11, 12, 16, 18, 19, 20, 23, 25, 26, 27, 28, 30, 31, 33, 40, 42, 43, 47, 48, 49, 50, 51, 54, 55, 57, 61, 62, 63, 65, 66, 70, 71], "project_nam": 9, "project_plan": [58, 61], "prolifer": 1, "prompt": [10, 11, 70], "propag": 1, "proper": [0, 3, 11, 15, 23, 53], "properli": [0, 11, 15, 17, 33], "properti": [1, 10, 12, 16, 18, 26, 30, 33, 38], "propos": [9, 51, 58], "proprietari": 51, "protect": [16, 19, 33, 38, 71], "protectiondomain": 33, "protocol": [27, 31, 33, 39, 40, 45, 47, 49, 65, 71], "provid": [1, 9, 10, 11, 12, 14, 16, 17, 18, 20, 22, 23, 24, 26, 27, 30, 31, 33, 34, 38, 39, 43, 45, 46, 47, 48, 49, 50, 52, 53, 57, 58, 59, 61, 64, 65, 66, 67, 70, 71], "provinc": 33, "proxi": 46, "prune": 58, "pseudo": 17, "ptl": 28, "public": [0, 1, 6, 9, 10, 15, 17, 18, 19, 20, 22, 26, 33, 54, 58, 59], "publish": [9, 18, 58, 60, 62], "pugh": 1, "pull": [1, 9, 10, 18, 24, 53, 54, 55, 62], "punctuat": 0, "pure": [1, 12, 20], "purpos": [6, 9, 15, 18, 22, 23, 24, 26, 53, 70], "pursu": 9, "push": [1, 9, 24, 52, 55, 60, 63], "put": [1, 9, 19, 23, 26, 47, 58], "py": [2, 24, 55], "python": [5, 24, 26, 55], "q": [10, 18], "qname": 23, "qnamemoduleawar": 52, "qo": 24, "qualifi": 9, "qualiti": [1, 58], "quarantin": 26, "queri": [26, 28, 47], "question": [1, 9, 22, 24, 28, 30], "queue": 26, "quick": [9, 18, 24, 53], "quicker": 26, "quickli": [9, 22, 26, 55, 58], "quit": 32, "r": [9, 18, 47], "raftstat": 26, "rais": 58, "rang": [15, 18, 24, 31, 51, 53], "rapidli": 33, "rare": 1, "rate": 51, "rather": [24, 33, 52, 53], "raw": 10, "rbac": 33, "rc": [58, 62], "rc0": [54, 55], "rc1": 54, "rc2": 24, "rcn_redundant_nullcheck_of_nonnull_valu": 1, "re": [1, 9, 15, 20, 24, 26, 27, 30, 55], "reach": [22, 26, 28], "reachabl": [26, 39, 45, 71], "reactiv": 46, "reactor": 23, "read": [0, 19, 22, 23, 26, 32, 33, 39, 40, 52], "readabl": [1, 20, 23, 24, 58], "reader": [23, 40], "readfailedexcept": 1, "readi": [9, 18, 23, 43, 58, 60, 61], "readm": 9, "readnod": 23, "readnode2": 23, "readonlytransactioncount": 26, "readout": [24, 65], "readwritetransactioncount": 26, "real": [1, 15, 19, 20, 33], "realiti": 26, "realiz": [16, 17], "realli": [1, 20, 22, 24], "realorexcept": [19, 20], "reason": [1, 15, 20, 22, 24, 26, 30, 59, 61, 66], "rebas": [1, 9, 55], "reboot": 26, "rebuild": 22, "receiv": [9, 22, 26, 48, 53, 58], "recent": 26, "recipebook": 9, "recogn": [23, 59], "recommend": [0, 1, 2, 12, 19, 22, 24, 26, 30, 54, 58, 62, 67], "recompil": 22, "reconcil": [48, 53], "reconcili": 48, "reconfigur": 51, "reconnect": 48, "reconsid": 52, "record": [15, 22, 58], "recov": [1, 15], "recoveri": [15, 26], "recurs": [54, 62], "red": 9, "red_hat_jboss_fus": 33, "redhat": [24, 33], "redistribut": 6, "reduc": 46, "redund": 51, "ref": [9, 10, 17, 24], "refactor": [20, 22, 40, 47, 51, 53], "refer": [1, 4, 6, 9, 10, 11, 14, 15, 22, 23, 24, 26, 27, 33, 35, 40, 42, 47, 52, 53, 55, 59, 66, 70], "referenc": [15, 18, 19, 23, 53, 59], "reflect": [9, 10, 53], "refus": 17, "regard": [1, 6, 70], "regardless": 22, "regexp": 18, "regexpsinglelinejava": 1, "region": 26, "regist": [1, 10, 17, 33, 45, 51, 55, 62], "registerdatachangelisten": [1, 17], "registernotificationlisten": 46, "registerrpcimplement": 46, "registr": [17, 26, 46, 51], "registri": 33, "regress": [1, 9, 58], "regular": [1, 23, 58, 63], "regularli": 58, "rehost": 46, "rejoin": 26, "rel": [20, 23, 24, 26, 60, 61], "relat": [8, 9, 11, 12, 15, 16, 19, 20, 23, 24, 33, 47, 57, 63, 66, 70], "relationship": 23, "relativepath": 14, "releas": [8, 10, 12, 15, 23, 24, 27, 28, 30, 33, 35, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 52, 53, 55, 56, 59, 67, 68], "release_integr": 58, "release_tag": 54, "releaset": 62, "releng": [0, 9, 24, 28, 54, 59, 60, 61, 62, 63], "relev": [14, 18, 24, 33, 55, 58, 59], "reli": [12, 18, 22, 51, 53], "reliabl": 11, "reload": 18, "remain": [6, 9, 26, 33, 58], "remedi": 33, "rememb": [6, 9], "remot": [1, 9, 10, 12, 24, 26, 44, 47, 67], "remov": [1, 6, 9, 15, 24, 26, 41, 42, 44, 45, 48, 49, 50, 51, 55, 58, 59, 60, 63], "removemd": 16, "renam": [9, 24, 46], "render": [9, 24, 39, 51, 53], "repeat": [1, 9, 26], "replac": [1, 6, 9, 10, 18, 26, 33, 66], "repli": [24, 26, 45, 47], "replic": 26, "replica": 26, "replicatedtoallindex": 26, "repo": [51, 53, 56, 58, 59, 60, 62], "repo_id": 60, "report": [13, 15, 17, 18, 22, 33, 52, 66, 68], "repositori": [0, 1, 6, 10, 12, 18, 22, 24, 26, 27, 51, 55, 59, 60, 62], "repositorti": 51, "repres": [23, 47, 58], "represent": [23, 27], "reproduc": 60, "request": [6, 10, 15, 16, 17, 24, 26, 45, 47, 58, 71], "requir": [1, 6, 9, 10, 11, 14, 15, 18, 19, 22, 23, 26, 32, 37, 47, 52, 53, 54, 59, 61, 63, 65, 66], "requirenonnul": 1, "reserv": [0, 6, 8, 10, 18], "reset": [26, 40, 55], "resid": [26, 71], "resili": 24, "resolut": [9, 23, 38, 40, 46, 47, 51, 52, 53], "resolv": [19, 30, 33, 58], "resourc": [10, 15, 17, 22, 24, 47, 51, 61], "resourceasstream": 23, "resourceyangmoduleinfo": 46, "respect": [1, 12, 14, 19, 20, 53, 58, 63], "respond": [0, 11, 17, 26], "respons": [9, 10, 19, 24, 26, 33, 47, 52], "rest": [0, 9, 16, 17, 24, 26, 27, 31, 32, 33, 37, 39, 45, 47, 58, 64, 70, 71], "restart": [15, 26, 32, 33, 51], "restclient": 10, "restconf": [10, 11, 17, 22, 24, 26, 30, 31, 32, 38, 47, 52, 64, 70], "restconfdocumentedexceptionmapp": 47, "restconfschemaservic": 47, "restor": [26, 62], "resubmit": 24, "result": [1, 9, 11, 23, 24, 26, 47, 51, 53, 55, 58, 61], "retain": [6, 46], "rethrow": 1, "retri": 9, "retriev": 9, "return": [1, 9, 10, 12, 16, 19, 20, 22, 23, 33, 47, 51, 53], "reus": 58, "reusabl": 9, "rev210321": 10, "revamp": 53, "revert": 61, "review": [0, 1, 22, 24, 47, 55, 58, 59, 62, 63], "revis": [10, 23, 47, 52], "revisiond": 23, "reward": 1, "reword": 9, "rework": 9, "rewritten": 58, "rf": [24, 55], "rfc": [39, 47, 53], "rfc6020": 23, "rfc6020_reactor": 23, "rfc6991": 53, "rfc8294": 53, "rfc8342": 53, "rfc8345": 53, "rfc8346": 53, "rfc8348": 53, "rfc8349": 53, "rfc8519": 53, "rfc8520": 53, "rfc8542": 53, "rfc8776": 53, "rfc8795": 53, "ri": 52, "rib": 39, "richer": 1, "right": [0, 1, 6, 9, 10, 18, 19, 22, 33, 55, 58, 60, 62, 63], "rightfulli": 1, "risk": [26, 33], "rm": [9, 24, 55], "rn": 9, "roadm": 51, "robert": 63, "robot": 24, "robust": 1, "role": [9, 26, 31, 33], "roll": 26, "rollback": 51, "root": [9, 10, 23, 24, 26, 30, 47, 56], "rout": [39, 45, 71], "rovarga": 63, "rpc": [1, 13, 20, 24, 26, 32, 35, 37, 40, 46, 51, 52, 68], "rpcconsumerregistri": 46, "rpceffectivestatementimpl": 47, "rpcproviderservic": 46, "rpcresult": [10, 19, 20], "rpcresultbuild": [10, 20], "rpcservic": [40, 46, 51], "rpm": 56, "rsa": 33, "rsapplic": 16, "rst": [17, 24, 62], "rstcheckbear": 24, "rtd": [9, 24], "rule": [0, 1, 15, 18, 19, 24, 33, 48, 51, 59, 71], "run": [1, 9, 10, 12, 14, 15, 16, 19, 24, 26, 33, 37, 39, 51, 53, 54, 55, 59, 60, 62, 67, 71], "runnabl": 22, "runtim": [18, 19, 20, 26, 27, 30, 53], "runtimeexcept": [1, 15], "rununtilfailurerul": 19, "runwith": 20, "s3": 62, "safe": [26, 53], "safeti": 1, "sai": [1, 9, 15, 19, 24], "sake": 19, "sal": [10, 12, 16, 17, 18, 20, 22, 24, 26, 27, 31, 35, 37, 47, 50, 64, 67, 71], "same": [1, 9, 10, 11, 12, 15, 17, 18, 19, 20, 22, 23, 24, 26, 32, 33, 53, 58, 59, 64, 67, 71], "sampl": 22, "sandbox": 22, "saniti": 58, "satisfi": 11, "save": [1, 53, 60], "sbi": 51, "sbr": 26, "scala": 18, "scalabl": 26, "scale": [26, 33, 34, 58], "scan": 2, "scandium": [51, 61, 62], "scenario": [11, 26], "schedul": [8, 57, 58, 63], "schema": [23, 26, 47, 49, 52, 53], "schemacontext": [23, 47], "schemainferencestack": 52, "schemaloc": 18, "schemanod": [23, 53], "schemasstream": 47, "schemata": 31, "scheme": 12, "scope": [4, 17, 18, 19, 22, 23, 33, 53], "scp": 9, "scrape": 58, "screen": 9, "screenshot": 66, "script": [9, 24, 42, 55, 62, 71], "scroll": 1, "sdn": [31, 39, 71], "search": [10, 26], "second": [1, 9, 15, 23, 26], "secondari": 26, "section": [9, 10, 11, 12, 14, 22, 23, 26, 27, 30, 34, 53, 54, 58, 65, 66, 67], "secur": [29, 30, 38], "security_guid": 33, "securitymanag": 33, "sed": [9, 55], "see": [1, 9, 10, 12, 20, 22, 23, 24, 26, 37, 51, 53, 56, 58, 61, 67, 71], "seed": 26, "seed_node_list": 26, "seed_nodes_list": 26, "seem": [1, 24, 26], "seemingli": 15, "seen": [0, 1, 8, 15, 19, 20, 22, 50], "segment": 26, "segmentedjournalread": 40, "select": [1, 10, 15, 22, 24, 27, 33, 51, 58, 60, 62], "self": [3, 26, 30, 33, 42, 56, 60, 61, 62], "semant": 23, "semver_mod": 23, "send": [9, 26, 33, 47, 48, 58], "senior": 15, "sens": [1, 20, 24, 59], "sensibl": 9, "sensibli": 1, "sensit": 58, "sent": [26, 66], "sentenc": [0, 24], "separ": [0, 1, 17, 18, 24, 26, 33, 45, 53, 64, 65, 66], "sequenc": [23, 33, 62], "seri": [1, 20], "serial": 46, "serv": [15, 24, 45, 60], "server": [9, 11, 26, 33, 45, 51, 60, 66], "serverfault": 28, "servic": [1, 11, 12, 13, 19, 24, 25, 27, 31, 33, 38, 39, 40, 43, 45, 50, 51, 61, 62, 67, 68, 71], "serviceutil": 37, "session": [1, 10, 39], "set": [0, 1, 10, 11, 12, 15, 17, 20, 22, 23, 24, 29, 33, 50, 51, 52, 53, 55, 56, 58, 67], "set_persist": 32, "setadminstateup": 16, "setgreet": 10, "setnam": 16, "setschemacontext": 23, "setshar": 16, "setstatu": 16, "setsubnet": 16, "settenantid": 16, "setter": 22, "setup": [1, 2, 9, 10, 18, 55, 62, 70], "setuptool": 2, "setuuid": 16, "sever": [15, 16, 20, 23, 57], "sevntu": 1, "sfc": 24, "sh": [9, 24, 26, 32, 62], "sha": 56, "shape": 61, "shard": [26, 32], "shardmanag": 26, "shardnam": 26, "share": [9, 15, 20, 22, 24, 27, 40], "shell": [9, 10, 22, 58], "ship": [24, 62], "shiro": 18, "shop": 9, "short": [9, 51, 59], "shorter": 26, "shorthand": 1, "shortli": 15, "shortlog": 24, "shortnam": 24, "should": [0, 2, 4, 6, 9, 10, 11, 12, 15, 18, 19, 20, 22, 23, 24, 26, 27, 32, 33, 46, 51, 52, 53, 58, 61, 62, 63, 64, 66], "show": [23, 24, 30, 47, 58], "shown": [0, 9, 14, 19, 58], "shut": [26, 30], "shutdown": [10, 15, 26, 30, 46], "side": [12, 26], "sign": [9, 24, 33, 60, 62, 66], "signatur": [14, 33, 62], "signific": [6, 18, 26], "silent": 15, "silicon": [8, 55], "sim": 51, "similar": [4, 12, 22, 23, 24, 37, 58, 67], "similarli": [19, 26], "simpl": [9, 19, 20, 23], "simpledateformatutil": 23, "simpli": [0, 1, 9, 10, 15, 19, 20, 22, 24, 54, 56, 65], "simplifi": 20, "simplist": 19, "simrel": 61, "simul": [22, 51], "simultan": [10, 30, 57, 58, 59, 60], "sinc": [1, 9, 24, 26, 45, 51, 58, 70], "singl": [1, 9, 11, 12, 15, 19, 22, 24, 26, 32, 33, 40, 47, 58, 66, 67], "singlefeaturetest": 59, "singleton": [1, 19, 26], "singletonserviceprovid": 46, "singletransactiondatabrok": 20, "site": [18, 22, 26, 35], "situat": [26, 58], "six": 61, "size": [9, 15, 26, 34], "sk": 63, "skip": [9, 18, 58, 62, 66], "skipdist": 2, "skipsdist": 2, "slack": 61, "slash": 47, "sleep": [19, 22], "slf4j": [10, 15, 18], "slight": 15, "slow": [10, 22, 58], "sm": [24, 55], "small": [1, 17, 19, 33, 58], "smaller": [9, 26, 33, 58], "smarttab": 10, "snapshot": [10, 14, 18, 26, 32, 53, 54, 60, 61], "snapshot_integr": 58, "snapshotaftercommit": 23, "snapshotcaptureiniti": 26, "snapshotindex": 26, "snapshotterm": 26, "sniffer": 13, "snmp": 31, "so": [0, 1, 4, 6, 9, 11, 12, 15, 17, 19, 22, 23, 24, 26, 30, 32, 47, 53, 62, 64, 66, 67, 71], "soda": 9, "sodium": 60, "softwar": [15, 24, 27, 30, 31, 65, 71], "solut": [1, 24, 39, 53], "solvabl": 9, "some": [0, 1, 9, 10, 11, 15, 17, 18, 19, 20, 22, 23, 24, 26, 27, 33, 37, 48, 51, 54, 58, 59, 64, 65, 66], "somebodi": [17, 24, 64, 66], "someexcept": [1, 15], "somehow": 24, "somemethoda": 1, "somemethodb": 1, "someobject": 1, "someobjectb": 1, "someon": 9, "someoper": 20, "sometest": 1, "someth": [1, 15, 17, 19, 20, 22, 24, 26, 58, 64], "sometim": [1, 9, 24, 61], "somewhat": 19, "somewher": [9, 19, 24, 26], "sonar": 58, "sound": 9, "sourc": [6, 9, 15, 17, 18, 22, 23, 24, 31, 46, 47, 51, 52, 53, 62], "sourcedirectori": 18, "sourceforg": 1, "south": [39, 51], "southbound": [16, 45, 47, 49, 51, 70, 71], "space": [1, 9, 19, 24, 26, 71], "span": 26, "spanloss": 51, "sparcv9": 30, "spawn": 22, "speaker": [39, 48], "spec": 53, "special": [9, 17, 20, 23, 26, 37, 46, 59, 70], "specif": [0, 1, 9, 10, 15, 18, 19, 23, 24, 28, 30, 33, 37, 40, 44, 46, 47, 48, 51, 58, 63, 70, 71], "specifi": [9, 10, 12, 15, 18, 19, 23, 24, 26, 33, 53, 66, 67], "spectrum": 51, "spend": 61, "sphinx": 24, "spi": [16, 23, 52, 53], "split": [1, 9, 15, 52], "splitbrainresolverprovid": 26, "spotbug": 53, "squash": 9, "sr": [58, 59, 62], "sr1": [58, 61, 62], "sr2": [61, 62], "sr3": 61, "sr4": 61, "src": [1, 10, 16, 17, 18, 26, 53], "sse": 47, "ssh": [9, 12, 33, 67], "ssl": 33, "st": 33, "stabil": [26, 58, 70], "stabl": [9, 17, 26, 55, 59, 60, 62], "stack": [15, 24], "stackoverflow": [1, 28, 30], "staff": 58, "stage": [9, 60, 62], "staging_profile_id": 62, "staging_repo": 62, "stai": 47, "stand": [33, 53], "standalon": [57, 58], "standard": [2, 9, 18, 19, 20, 23, 33, 39], "start": [1, 9, 10, 11, 15, 18, 19, 22, 23, 24, 25, 26, 30, 32, 55, 58, 61, 63, 65, 66, 71], "startup": [10, 17, 18, 26, 32], "starvat": 26, "stat": 1, "state": [0, 6, 9, 15, 20, 23, 24, 26, 27, 32, 33, 39, 41, 47, 48, 63, 71], "statement": [4, 6, 15, 23, 24], "statementdefinit": 23, "statementparsermod": 23, "statementstreamsourc": 23, "statementwrit": 23, "static": [10, 15, 19, 20, 22, 23, 40, 53, 71], "staticcleanup": 22, "staticinit": 22, "statist": [26, 58, 66], "statretrievalerror": 26, "statretrievaltim": 26, "statu": [9, 11, 26, 30, 33, 47, 52, 61], "steer": 59, "stem": 15, "step": [9, 10, 16, 22, 23, 24, 26, 30, 33, 53, 57, 58, 62, 66], "still": [1, 6, 9, 11, 18, 19, 22, 23, 24, 26, 51, 58], "stone": [18, 24], "stop": [1, 9, 26, 30], "storag": [39, 40], "store": [0, 18, 19, 23, 24, 26, 45, 48, 71], "straightforward": 53, "strategi": [15, 22, 26], "stream": [23, 47, 53, 55, 60, 62, 63], "streamwrit": 23, "streamwritermetadataextens": 53, "streamwritermountpointextens": 53, "strict": [1, 9], "string": [1, 4, 10, 12, 15, 16, 22, 23, 47, 67], "strive": 58, "strong": 19, "strongli": 58, "structur": [6, 9, 15, 16, 23, 27, 31, 53], "stub": [18, 19, 20], "style": [1, 9, 15, 19], "styleguid": 1, "sub": [1, 23, 24, 47, 53], "subclass": 19, "subject": [0, 23, 58], "submiss": 58, "submit": [1, 55, 61, 62, 63], "submodul": [52, 54, 55, 59, 62], "subnet": [16, 17], "subproject": 24, "subresourc": 47, "subscrib": [9, 17, 28, 47, 58], "subscript": 47, "subsect": [24, 30], "subsequ": [9, 27], "subset": [11, 17], "substat": 52, "substitut": [9, 59], "substr": 10, "subsubsect": 24, "subsystem": [1, 11, 13, 20, 23, 24, 67], "subtl": 19, "subtyp": 1, "subvert": 33, "succeed": 33, "success": [10, 26, 32], "successfulli": [15, 26, 56, 58, 59, 60], "successor": 1, "sudden": 26, "suffer": 19, "suffici": [9, 58], "suggest": [18, 24], "suit": [19, 20], "suitabl": [1, 12, 67], "summar": 58, "summari": [9, 15, 26, 38, 40, 46, 47, 51, 52], "summit": 19, "suno": 30, "sunos64": 30, "super": 57, "superclass": 23, "supersed": 9, "suppli": [15, 23], "support": [1, 2, 15, 17, 18, 22, 23, 28, 30, 31, 33, 37, 40, 45, 46, 49, 51, 52, 58, 70, 71], "supportedfeatur": 23, "suppress": 1, "suppressfbwarn": 1, "sure": [0, 6, 10, 11, 12, 15, 22, 53, 55, 58, 59, 64], "surfac": 33, "surviv": 26, "suspici": 26, "sv": 23, "sw": 10, "swagger": [47, 51], "swallow": 1, "swap": 9, "switch": [1, 19, 24, 48, 51, 71], "switchpond": 51, "symbol": 33, "symptom": 1, "sync": [24, 26], "synchron": [22, 26, 47, 61], "syncstatu": 26, "syntax": [9, 20, 24], "system": [9, 10, 11, 12, 15, 18, 19, 22, 26, 30, 31, 41, 52, 58, 66, 67, 71], "systemat": 15, "t": [1, 9, 15, 16, 18, 19, 22, 24, 26, 30, 40, 47, 51, 55, 66], "tab": [9, 30, 54], "tabl": [24, 30, 38, 39, 40, 43, 46, 47, 51, 52], "tabstop": 10, "tag": [9, 22, 28, 60, 62], "taglist": [56, 60], "tailor": 23, "take": [1, 9, 10, 11, 15, 16, 17, 22, 23, 24, 26, 30, 32, 33, 47, 56, 58, 62], "taken": 26, "takesnapshot": 23, "talk": 24, "tapi": 51, "tar": [25, 42, 56, 62], "target": [1, 8, 9, 10, 15, 16, 24, 26, 30, 32, 33, 47, 53, 54, 58, 64], "task": [8, 9, 17, 24, 33, 62], "taxonomi": 24, "tbd": [3, 4], "tcp": [26, 47], "team": [11, 24, 26, 27, 33, 55, 57, 61, 62], "technic": [1, 6, 9, 18, 59], "tee": 9, "tell": [17, 26, 40], "temp": 22, "templat": [18, 24, 53, 58, 59, 65, 66], "temporari": [22, 58, 59, 63], "temporarili": 58, "tempt": [19, 20], "tend": 23, "term": [0, 6, 10, 18, 26, 33, 47, 51], "termin": [9, 15, 26, 51], "terminologi": 15, "test": [1, 9, 13, 14, 16, 18, 24, 30, 33, 35, 43, 47, 51, 54, 56, 58, 59, 60], "testabledatatreechangelistenermodul": 19, "testcleanup": 22, "testenv": 2, "testidmanagerservic": 19, "testinit": 22, "testmodul": 1, "teston": 22, "testsometh": 1, "testtool": 47, "testtwo": 22, "testutil": [19, 20], "testyourrpcservic": 20, "text": [1, 9, 15, 24, 33, 52, 65], "textpad": 9, "textsourc": 52, "th": 19, "than": [1, 8, 9, 19, 20, 23, 24, 26, 27, 33, 51, 52, 58, 61, 64, 65], "thanh": 63, "thank": 9, "thei": [0, 1, 9, 11, 12, 15, 18, 19, 20, 23, 24, 26, 27, 33, 51, 53, 58, 59, 61, 63, 64, 66, 67], "them": [1, 4, 9, 10, 11, 12, 15, 19, 22, 23, 24, 26, 27, 33, 52, 53, 58, 59, 60, 61, 66, 67], "themselv": [17, 26, 53, 62], "thenreturn": 19, "theoret": 19, "therebi": 26, "therefor": [1, 19, 22, 23, 26, 37, 58], "therein": 11, "thi": [0, 1, 2, 3, 4, 6, 9, 10, 11, 12, 14, 15, 17, 18, 19, 20, 22, 23, 24, 26, 27, 30, 32, 33, 34, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 70, 71], "thing": [1, 4, 15, 17, 22, 23, 24, 64], "think": [1, 20, 22, 24, 26, 27], "third": [1, 15, 18, 23, 26], "those": [1, 9, 11, 16, 17, 18, 19, 22, 24, 26, 32, 33, 51, 58, 62, 66, 70], "though": [1, 19, 20, 26, 37, 55, 61], "thousand": 1, "thread": [19, 22, 26, 30, 47, 58], "threadpool": 22, "threat": 33, "three": [15, 23, 26, 33], "threshold": 26, "through": [1, 17, 19, 22, 23, 24, 26, 27, 28, 45, 55, 63, 70], "throughout": [12, 51, 71], "throw": [1, 15, 19, 22], "throwabl": 1, "thrown": [1, 22, 30], "thu": [1, 15, 17, 23, 53], "ti": [1, 22], "ticket": [7, 9, 41, 42, 44, 45, 48, 49, 50, 58], "tight": 20, "tighter": 0, "time": [1, 8, 9, 15, 18, 19, 21, 26, 32, 33, 39, 51, 53, 59, 60, 61, 63, 71], "timeout": [26, 40], "timesincelastact": 26, "timestamp": 26, "tipproducingdatatre": 23, "titl": [9, 24, 66], "tl": 33, "tmp": [30, 32, 62], "toaster": [26, 47], "toctre": 24, "todo": [1, 19, 20, 22], "togeth": [9, 11, 19, 24, 26, 56, 58, 64, 66, 71], "toilet": 20, "toinstanc": 19, "tojavautil": 1, "toler": 26, "tomato": 9, "too": [1, 9, 24, 26, 47], "tool": [1, 9, 13, 22, 24, 26, 29, 37, 47, 71], "top": [0, 1, 9, 10, 15, 23, 24, 33, 37, 56], "topic": [9, 19], "topologi": [9, 12, 26, 39, 47, 48, 51, 66, 67, 71], "tornorby": 1, "tostr": [15, 52], "totalmemori": 26, "touppercas": 10, "touuid": 16, "toward": [24, 51, 56], "tox": [2, 9], "trace": [9, 17], "track": [0, 4, 24, 48, 57, 58], "tracker": 71, "trade": 26, "tradit": [1, 15, 19], "tradition": 18, "traffic": [33, 45], "trail": [9, 24], "train": 51, "transact": [1, 20, 26, 46], "transactionchainlisten": 46, "transfer": 31, "transform": [1, 23], "transformedinput": 23, "transient": 26, "transit": [12, 15, 18, 58], "translat": 24, "transpond": 51, "transport": [26, 37, 47], "transportpc": [13, 35, 47, 51], "treat": 6, "treatment": 9, "tree": [17, 20, 23, 24, 27, 31, 52, 53, 58], "treemodif": 23, "treetyp": 23, "trend": 58, "tri": [15, 24, 32], "trick": 1, "trie": 18, "triemap": 18, "trigger": [1, 9, 15, 47, 48, 62, 63], "trim": [40, 52], "trip": 26, "trivial": [1, 19], "trnsprtpce": 51, "troubleshoot": [15, 66], "true": [1, 2, 10, 15, 18, 19, 24, 26, 33, 54], "truli": 1, "trust": [1, 26], "try": [1, 9, 10, 15, 22, 26, 30], "tsc": [59, 61, 62, 63], "tune": 26, "turn": [1, 11, 15, 24], "tutori": 24, "twice": [20, 61], "two": [6, 9, 10, 11, 12, 15, 16, 18, 19, 22, 23, 24, 26, 27, 32, 33, 47, 58, 67], "txcohortcaches": 26, "txt": [9, 18, 24, 26, 60], "type": [1, 4, 8, 9, 10, 12, 18, 19, 23, 26, 30, 33, 38, 40, 46, 47, 51, 52, 53, 58, 70], "type_us": 1, "typedef": 4, "typeless": 4, "typesaf": 26, "typic": [1, 15, 18, 19, 20, 24, 26, 27, 37], "u": [1, 9, 15, 19, 23, 33], "ui": [1, 10, 24, 25, 47, 60], "uint16": 26, "uint32": 26, "uint8": 26, "umbrella": 58, "unabl": [15, 47], "unavail": [26, 47, 59], "uncaught": 22, "uncheck": 60, "undefin": 26, "under": [0, 6, 10, 16, 18, 20, 22, 24, 26, 30, 47, 55, 58, 63], "underli": 71, "underneath": 24, "underscor": [1, 4, 24], "understand": [1, 10, 15, 17, 19, 22, 33], "understood": [1, 9, 19], "undesir": [26, 30], "undu": 58, "unexpect": [15, 30], "unfortun": [2, 22, 24], "unhealthi": 11, "unicod": 9, "unifi": [33, 46, 47, 70], "unintention": 22, "union": 47, "uniqu": [12, 22, 23, 24, 52, 67], "unit": [1, 4, 16, 19, 24, 33, 39], "unix": [9, 15], "unkei": [23, 47], "unkeyedlistentrynod": 23, "unkeyedlistnod": 23, "unknown": 33, "unless": [19, 24, 26, 66], "unlock": [62, 63], "unnecessari": 47, "unned": 40, "unplan": 26, "unreach": 26, "unrecover": 26, "unrespons": 26, "unsav": 62, "unstabl": [26, 47, 52], "unstubbedmethodexcept": 19, "unsuit": 58, "until": [1, 2, 9, 17, 18, 24, 26, 58, 63, 70], "untrust": 33, "unzip": [26, 30], "up": [1, 6, 9, 15, 17, 20, 22, 23, 24, 29, 30, 33, 39, 63], "updat": [0, 9, 10, 15, 16, 17, 24, 26, 40, 46, 47, 48, 51, 53, 54, 55, 58, 62, 63], "updatemd": 16, "updatenetwork": 17, "updatepolici": 54, "upgrad": [37, 62], "upon": [12, 33, 67], "uppercas": 1, "upstream": [11, 18, 51, 58], "upward": 1, "urgent": 58, "uri": [23, 26], "url": [9, 10, 22, 24, 26, 33, 47, 54, 58], "urn": [10, 23], "us": [0, 1, 2, 3, 4, 6, 8, 9, 11, 12, 14, 17, 18, 19, 22, 23, 24, 26, 27, 28, 30, 31, 32, 34, 37, 40, 42, 43, 44, 45, 46, 47, 48, 49, 51, 52, 53, 54, 55, 57, 60, 61, 62, 63, 64, 65, 66, 67, 68, 71], "usabl": [11, 20, 24], "usag": [19, 20, 23, 26, 32, 47], "use_release_fil": 60, "useless": [1, 9, 47], "user": [1, 10, 11, 12, 17, 22, 23, 24, 26, 27, 28, 30, 31, 32, 33, 38, 39, 40, 47, 48, 51, 52, 53, 55, 58, 59, 61, 62, 64, 65, 67], "usernam": [10, 33], "usingandavoidingnullexplain": 1, "usr": 9, "usual": [0, 9, 12, 15, 23, 24, 61, 67, 70], "utc": 61, "utf": 10, "util": [10, 17, 19, 20, 23, 33, 37, 43, 50, 52, 53], "uuid": [4, 16], "v": [0, 9, 20, 24, 33, 55], "v1": [0, 6, 10, 18], "v10": [0, 6, 10, 18], "v2": 17, "vagu": [6, 15], "valid": [1, 12, 23, 24, 33, 52, 58, 59], "valu": [1, 8, 10, 11, 12, 15, 16, 22, 23, 26, 30, 47, 52, 58, 67], "valuabl": 26, "var": 53, "varga": 63, "vari": [1, 10, 15, 19, 26], "variabl": [1, 15, 22, 27, 53], "variad": 15, "variant": [1, 20], "variat": 53, "varieti": [24, 45], "variou": [1, 15, 23, 27, 33, 57], "vast": 33, "ve": [19, 54], "vector": [33, 46], "verbos": [1, 9], "veri": [1, 9, 15, 19, 20, 22, 24, 26, 58], "verif": [11, 18, 59], "verifi": [0, 9, 10, 14, 19, 22, 55, 59, 62, 63], "version": [2, 3, 8, 9, 13, 14, 18, 19, 22, 23, 24, 33, 42, 57, 59, 60, 61, 62, 68], "vesion": 47, "vex": 62, "vi": 10, "via": [0, 1, 9, 12, 16, 17, 22, 23, 26, 31, 33, 52, 58, 62, 64, 67, 70], "view": [1, 10, 11, 15, 22, 26, 33, 39, 51, 54, 59, 66, 70], "vim": 9, "vim74": 9, "vimrc": 9, "vimrc_exampl": 9, "violat": [1, 15, 58], "virtual": [1, 33, 37, 45, 70], "virtualenv": 62, "visibl": [1, 33], "visual": [1, 22, 66], "visualvm": 26, "vm": [24, 31, 33], "void": [1, 10, 12, 15, 17, 19, 22], "volatil": 1, "vorburg": [19, 20], "vote": [26, 32, 58, 62, 63], "votedfor": 26, "vpn": 50, "vpnvrftabl": 1, "vrfentri": 1, "vrftabl": 1, "vswitch": 24, "vtep": 49, "vtn": [24, 25, 70], "vulner": 33, "w3": 18, "wa": [1, 2, 6, 8, 9, 10, 17, 19, 26, 47, 53, 58, 60], "wai": [1, 9, 10, 12, 15, 17, 18, 22, 23, 24, 26, 27, 33, 54, 58, 66, 67, 71], "wait": [10, 19, 22, 24, 26, 58, 70], "waittilloperationcomplet": 19, "walk": [23, 24, 27], "want": [1, 6, 9, 12, 17, 19, 22, 23, 24, 26, 27, 31, 54, 58, 60, 61, 64, 67, 70], "war": 18, "warn": [1, 9, 16, 38, 40, 51], "wave": 51, "wavelength": 51, "wdm": 51, "we": [0, 1, 2, 4, 9, 10, 15, 18, 19, 22, 23, 24, 26, 27, 30, 33, 34, 51, 59, 61, 62], "weather": 58, "web": [9, 10, 24, 26, 33, 60, 71], "webchat": 28, "webconsol": 33, "websit": [1, 62], "week": [58, 61], "weight": 20, "welcom": 9, "well": [1, 15, 19, 20, 22, 24, 26, 33, 40, 62], "went": 15, "were": [0, 9, 10, 24, 26, 58, 61], "wget": 10, "what": [0, 1, 6, 10, 15, 19, 20, 24, 26, 27, 29, 33, 37, 58, 59, 60, 64, 66, 71], "whatev": [20, 24], "when": [1, 2, 9, 10, 15, 17, 19, 20, 22, 23, 24, 26, 32, 33, 46, 47, 51, 52, 53, 57, 59, 61], "whenev": [4, 15, 19], "where": [1, 9, 18, 19, 22, 23, 24, 26, 30, 32, 33, 45, 52, 53, 55, 58], "wherea": 1, "wherebi": 26, "wherein": 58, "whether": [1, 6, 12, 23, 58, 67], "which": [0, 1, 2, 4, 6, 9, 10, 11, 12, 14, 15, 16, 17, 18, 19, 20, 22, 23, 24, 26, 27, 31, 32, 33, 37, 39, 46, 47, 48, 51, 53, 54, 55, 58, 61, 63, 67, 70, 71], "whichev": 58, "while": [0, 9, 10, 15, 19, 23, 24, 26, 27, 30, 33, 51, 58, 62, 63, 71], "white": 9, "whitespac": 24, "who": [1, 6, 9, 15, 17, 33, 58, 64, 66], "who_should_us": 24, "whole": 26, "whose": 51, "why": [0, 1, 24, 64], "wide": [15, 24, 31], "width": 24, "wield": 58, "wiki": [1, 9, 24, 33, 70], "willing": 59, "win32": 30, "wind": 24, "window": [9, 58, 65], "windows32": 30, "windows64": 30, "wip": 1, "wipe": 62, "wire": [16, 48], "wish": 58, "withchild": 23, "withdraw": 58, "within": [1, 9, 10, 15, 16, 17, 22, 23, 24, 26, 31, 33, 37, 52, 58, 59, 63, 65], "withnodeidentifi": 23, "without": [1, 9, 11, 12, 15, 16, 20, 22, 23, 24, 26, 47, 58, 59, 70], "withvalu": 23, "woe": 58, "won": [18, 26, 47], "wonder": 1, "word": 22, "work": [0, 1, 2, 3, 4, 6, 9, 10, 11, 15, 17, 19, 20, 22, 26, 47, 51, 55, 56, 58, 61, 62, 64, 66], "workaround": [2, 12, 30, 67], "workflow": 24, "workon": 62, "world": 63, "worri": 22, "worth": 20, "would": [1, 12, 15, 17, 18, 19, 20, 22, 24, 26, 35, 58, 64, 67], "wouldn": [1, 19, 66], "wrap": [0, 1, 9, 23, 24], "wrapper": 18, "write": [0, 1, 12, 19, 20, 22, 23, 39, 47, 52, 67], "writeonlytransactioncount": 26, "writer": [23, 40], "written": [23, 37, 52, 58, 71], "wrong": [1, 15, 19, 20, 47, 51], "www": [0, 1, 6, 10, 15, 18, 33], "x": [0, 9, 10, 14, 19, 23, 24, 30, 55, 58, 62], "x86": 30, "xarg": 9, "xdff": 62, "xerc": 18, "xl": 9, "xml": [1, 5, 10, 12, 14, 18, 19, 23, 40, 47, 52, 53, 55, 56, 58, 67, 71], "xmlinputfactori": 23, "xmln": [10, 18], "xmlparser": 23, "xmlparserstream": 23, "xmlschema": 18, "xmlstreamread": 23, "xsi": 18, "xsl": 18, "xtend": 37, "xtendbean": 20, "xtendbuilderextens": 20, "y": [0, 9, 23, 30, 55, 58, 62], "yaml": [9, 55], "yang": [1, 5, 10, 13, 16, 20, 22, 24, 26, 31, 32, 37, 44, 46, 47, 48, 49, 51, 64, 67, 70], "yang_tool": 1, "yanginferencepipelin": 23, "yanginstanceidentifi": [23, 52], "yanglib": 47, "yangmodelbindingprovid": 46, "yangmodulesourc": 23, "yangmodulesource2": 23, "yangstatementsourceimpl": 23, "yangtextschemasourc": 52, "yangtool": [1, 10, 14, 18, 19, 20, 23, 36, 52, 53, 58, 61], "ye": 33, "year": [18, 61], "yet": [19, 20], "yin": [23, 52], "yinstatementsourceimpl": 23, "you": [0, 1, 6, 9, 10, 12, 15, 17, 18, 19, 20, 22, 23, 26, 27, 28, 30, 31, 33, 34, 35, 54, 58, 60, 62, 64, 65, 66, 70], "your": [0, 1, 2, 6, 10, 15, 18, 19, 20, 26, 28, 30, 31, 33, 54, 58], "youridmanagerserviceimpl": 19, "yourprojectapiexcept": 1, "yourrpcservic": 20, "yourself": [1, 27], "yourtestmodul": 19, "yoyodyn": 10, "yul": 62, "z": [23, 55, 58, 62], "zero": 23, "zip": [25, 26, 30, 56], "zone": 26, "ztoa": 51, "zxiiro": 63, "\u03bc": 26}, "titles": ["Generic Coding Guidelines", "Coding Guidelines for Java", "Coding Guidelines for Python", "Coding Guidelines for XML", "Coding Guidelines for YANG", "Coding Guidelines", "About Copyright and License", "Contributor Guides", "Jira Ticketing Guideline", "Newcomers Guide", "Developing apps on the OpenDaylight controller", "Distribution features", "Distribution Version reporting", "Developer Guides", "Integrating Animal Sniffer with OpenDaylight projects", "Logging subsystem", "Neutron Northbound", "Neutron Service Developer Guide", "ODL Parent Developer Guide", "Component Tests (with Guice)", "Considerations on Tests", "Developer Test Guides", "Build Time Testing with JUnit", "YANG Tools Developer Guide", "Documentation Guide", "OpenDaylight Downloads", "Setting Up Clustering", "OpenDaylight concepts and tools", "How to Get Help", "Getting Started Guide", "Installing OpenDaylight", "Introduction", "Persistence and Backup", "Security Considerations", "What to Do with OpenDaylight", "Welcome to OpenDaylight Documentation", "Java API Documentation", "Release Notes", "AAA", "BGP-PCEP", "Controller", "Daexim", "Distribution", "InfraUtils", "JSON-RPC", "LISP Flow Mapping", "Model-Driven Service Abstraction Layer (MD-SAL)", "NETCONF", "OpenFlow Plugin", "OVSDB", "ServiceUtils", "Transport PCE", "YANG Tools", "2023.09 Potassium Platform Upgrade", "Autorelease", "Branch Cutting", "Identifying Managed Projects in an OpenDaylight Version", "OpenDaylight Release Process Guide", "Managed Release", "Project life-cycle", "Project Standalone Release", "Release Schedule", "Simultaneous Release", "Super Committers", "<Feature> Developer Guide", "<Feature> Installation Guide", "<Feature> User Guide", "Distribution Version reporting", "OpenDaylight User Guide", "NETCONF User Guide", "Neutron Service User Guide", "OpenDaylight Controller Overview"], "titleterms": {"": 31, "0": [38, 40, 46, 47, 52], "09": 53, "1": [10, 46, 64], "10": 30, "12": 52, "13": [46, 52], "17": 53, "19": 38, "2": [40, 52, 64], "2023": 53, "3": [18, 38], "4": [18, 47], "7": 47, "9": 40, "On": 58, "The": 16, "aaa": [33, 38], "about": [6, 31], "abstract": 46, "activ": [26, 58, 63], "ad": [22, 24, 54], "add": [10, 16, 58], "addit": 1, "administ": [66, 70], "advic": 9, "affect": [47, 52], "again": 24, "all": [11, 26], "an": [1, 10, 56], "analysi": 1, "anim": 14, "annot": [1, 22, 53], "api": [10, 12, 16, 17, 23, 36, 64], "app": 10, "applic": 48, "ar": 56, "archetyp": 10, "architectur": [17, 23, 64, 66, 70], "archiv": 25, "artifact": [42, 53, 58], "assert": [20, 22], "async": 19, "asyncclustereddatatreechangelistenerbas": 19, "asyncdatatreechangelistenerbas": 19, "attend": 58, "augmentationidentif": 53, "augmentationnod": 53, "auto": 10, "autoreleas": [54, 55, 59], "avail": 30, "avoid": 15, "back": [9, 32], "backup": [26, 32], "base": [24, 48], "bash": 0, "been": 53, "behavior": [38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "best": 9, "bgp": 39, "bind": [19, 53], "bindingmap": 53, "bindingreflect": 53, "block": 24, "booleansubject": 1, "brain": 26, "branch": [55, 56, 58], "browser": 10, "build": [10, 22, 24, 54], "builder": [53, 55], "bump": [53, 55, 63], "bundl": [18, 33], "c": 0, "calcium": [25, 51], "call": 15, "case": [63, 70], "cast": 1, "chang": [9, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "charsourc": 53, "check": [1, 9], "checkpoint": 58, "checkstyl": 1, "cherri": 9, "choic": 24, "class": [1, 22], "clear": 24, "client": 10, "clm": 58, "clone": [9, 24, 54], "cluster": [26, 33], "coala": 24, "code": [0, 1, 2, 3, 4, 5, 9, 19, 24, 58, 62, 63], "codec": 23, "com": 1, "command": 22, "commit": [0, 9, 24], "committ": [58, 63], "common": [1, 9, 24, 58], "commonli": 20, "commun": 58, "compat": 11, "compliant": 1, "compon": [19, 20, 23], "concept": [23, 27], "concurr": 22, "config": [12, 17, 26, 67], "configur": [17, 26, 65, 66, 70], "conflict": 9, "connect": 26, "consider": [20, 26, 33], "consum": 17, "contain": 33, "content": [24, 53], "context": 15, "contribut": 35, "contributor": 7, "control": [10, 40, 53, 70, 71], "conveni": 53, "copi": 9, "copyright": 6, "core": 13, "creat": [22, 54], "cut": [55, 58], "cycl": 59, "daexim": 41, "data": [23, 53], "databrok": 20, "dataschemacontext": 53, "dataschemacontextnod": 53, "datastor": 32, "datastorejobcoordin": 19, "dc": 26, "debug": [15, 22], "declar": 53, "default": [12, 53, 67], "defin": 10, "deliver": 24, "depend": [1, 53, 58], "deploy": [26, 33], "deprec": [38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "descend": 53, "deseri": 23, "detect": 26, "develop": [10, 13, 17, 18, 21, 23, 37, 64], "differ": 31, "direct": 24, "directori": 24, "disabl": 33, "distribut": [11, 12, 30, 42, 56, 58, 67], "do": 34, "doc": 24, "docker": 25, "document": [17, 24, 35, 36, 55, 57, 58, 64], "doe": 24, "down": 26, "download": [25, 30], "driven": 46, "eclips": [1, 22], "edit": 6, "empti": 1, "enabl": [15, 58], "enforc": 1, "environ": 66, "error": [1, 15, 24], "etc": 1, "event": 15, "everyth": 1, "exampl": [10, 22], "except": 63, "execut": [10, 37], "expect": 24, "explor": 10, "expos": 53, "extens": 17, "extensibleobject": 53, "extra": 26, "failur": 26, "fbsuppresswarn": 1, "featur": [11, 12, 13, 18, 30, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 58, 64, 65, 66, 70], "field": [1, 8], "file": [6, 9, 12, 26, 67], "final": 58, "find": 56, "findbug": 1, "first": 10, "flow": 45, "format": [9, 24], "formerli": 19, "freez": [58, 62, 63], "from": [1, 24, 58, 65], "further": 1, "gener": [0, 13, 21], "geniu": 19, "gerrit": 9, "get": [9, 28, 29, 35], "getmoduleinfo": 53, "getrefin": 53, "git": [0, 24], "given": 56, "goal": 58, "good": 22, "googl": 1, "grammar": 24, "grievanc": 58, "group": 64, "guic": 19, "guicerul": 19, "guid": [7, 9, 13, 17, 18, 21, 23, 24, 29, 57, 64, 65, 66, 68, 69, 70], "guidelin": [0, 1, 2, 3, 4, 5, 8, 9], "ha": 53, "handl": 26, "have": 24, "header": [0, 10], "healthi": 58, "hello": 10, "helloworld": 10, "help": 28, "hint": 20, "hostconfig": 17, "how": [16, 17, 23, 28], "http": [10, 33], "i": [9, 15, 53, 56], "identifi": [53, 56], "ietfinetutil": 53, "ietfyangutil": 53, "illegalcatch": 1, "illegalthrow": 1, "imag": 25, "impact": 53, "implement": [10, 20, 22, 51], "implicit": 9, "incl": 1, "index": 11, "indirectli": 26, "info": 15, "infrautil": [19, 43], "inheritdoc": 1, "initi": 58, "inject": 1, "instal": [30, 53, 58, 65, 66, 70], "instanc": [15, 53], "instanceidentifi": 53, "instanceidentifierbuild": 53, "instead": 1, "instruct": 66, "integr": [11, 14, 20, 36, 53, 58], "interfac": [12, 64], "introduct": [19, 22, 31], "issu": [9, 24, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "java": [0, 1, 12, 15, 20, 36], "javadoc": 1, "javax": 1, "jdk": 53, "jdt": 1, "jira": [8, 24, 38, 40, 46, 47, 51, 52], "jjb": 55, "job": [55, 58], "jobcoordin": 19, "json": 44, "junit": [19, 22], "karaf": [11, 12, 18, 30, 33], "karaf4": 18, "keep": 26, "kei": [12, 24, 64], "known": [38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "lambda": 1, "layer": 46, "layout": 24, "leas": 26, "level": 15, "licens": [6, 9], "life": 59, "line": [1, 22], "lisp": 45, "list": [30, 59], "lite": 18, "local": 9, "log": 15, "logger": [15, 17, 70], "m2": 24, "major": 26, "make": 24, "manag": [35, 36, 56, 58, 66, 70], "mandatori": 8, "manual": 55, "map": 45, "maven": [19, 22, 23], "md": [46, 53], "messag": [0, 9, 15, 24], "method": [1, 15, 16], "midwai": 58, "mistak": 24, "mock": 22, "mockito": 20, "model": [12, 17, 23, 46, 53], "modifi": 9, "modul": 10, "monitor": 26, "move": [1, 53, 58], "multi": 26, "multipl": 26, "name": [22, 66], "nest": 24, "netconf": [47, 69], "neutron": [16, 17, 70], "new": [6, 9, 16, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "newcom": 9, "node": [23, 26], "non": 1, "nonnullandopt": 1, "nonnullbydefault": 1, "normal": [20, 23], "northbound": 16, "note": [1, 37], "np_nonnull_field_not_initialized_in_constructor": 1, "null": 1, "nullabl": 1, "object": [19, 20], "odl": [11, 18, 53, 70], "odlpar": 18, "oldest": 26, "onli": [1, 53, 58], "opendaylight": [9, 10, 14, 25, 27, 30, 31, 33, 34, 35, 56, 57, 62, 68, 71], "openflow": 48, "option": [1, 26], "order": 1, "org": 1, "osgi": 33, "other": 19, "out": 1, "outlin": 24, "output": 24, "overhead": 58, "overview": [10, 11, 12, 17, 18, 23, 26, 33, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 57, 64, 65, 66, 67, 68, 70, 71], "ovsdb": 49, "p": 1, "packag": 22, "paragraph": 1, "parameter": 15, "parent": [18, 53], "parser": 23, "particip": 58, "particular": 1, "patch": 9, "path": 53, "pce": 51, "pcep": 39, "persist": 32, "pick": 9, "plan": [51, 57], "platform": [37, 53], "plugin": [14, 23, 33, 39, 48], "pom": 18, "port": [9, 33], "post": [55, 65], "potassium": [25, 51, 53], "powermock": 20, "practic": 9, "pre": 65, "preced": 1, "prefer": 24, "prepar": [9, 53, 60, 62, 65], "prerequisit": [10, 66], "previou": 65, "process": [1, 57, 58], "project": [1, 9, 10, 13, 14, 22, 24, 35, 36, 37, 53, 56, 58, 59, 60, 68], "prone": 1, "provid": 15, "python": [0, 2], "qualiti": 22, "quorum": 26, "rc": 54, "read": [1, 20, 24], "reason": 58, "recommend": [20, 33], "reduc": 58, "refer": [17, 58, 64], "referenc": 24, "relat": 1, "releas": [25, 36, 37, 51, 54, 57, 58, 60, 61, 62, 63, 65], "releng": 55, "remot": 33, "remov": [38, 40, 43, 46, 47, 52, 53], "renam": 53, "replac": 53, "repo": 18, "report": [12, 58, 67], "repositori": [9, 11, 54], "requir": [24, 58], "requisit": 65, "resolv": [9, 26, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52], "resourc": [1, 33], "resourcebatchingmanag": 19, "respons": 58, "rest": 10, "restconf": [12, 33, 67], "restor": 32, "restructuredtext": 24, "review": 9, "rework": 53, "rfc7952": 53, "rfc8528": 53, "row": 10, "rpc": [10, 44], "rule": 9, "run": [22, 30, 58, 70], "runtim": 1, "sal": [46, 53], "sampl": 26, "sb": 17, "schedul": 61, "script": [26, 32], "section": 24, "secur": 33, "self": [35, 58, 63], "semant": 16, "serial": 23, "servic": [17, 20, 37, 46, 58, 63, 70], "serviceutil": 50, "set": [9, 26, 32, 54, 66], "setup": [14, 26], "sever": 9, "should": 1, "shutdown": 33, "simpl": 10, "simultan": [9, 62], "singl": 18, "sm": 58, "snapshot": 58, "sniffer": 14, "southbound": 33, "specif": [13, 68], "split": 26, "spotbug": 1, "sr0": 25, "sr2": 25, "stabl": 58, "stage": 54, "standalon": 60, "start": [29, 35], "state": 59, "static": [1, 26], "step": [1, 14, 55], "stream": [1, 51], "structur": [20, 24], "style": [0, 24], "subject": 1, "submit": [9, 24, 58], "submodul": 24, "subsystem": [12, 15], "suggest": 1, "summari": 58, "super": 63, "support": [16, 25, 53, 57], "suppresswarn": 1, "sure": 24, "system": 1, "tag": 1, "target": 66, "task": 55, "team": 58, "term": 24, "test": [10, 11, 19, 20, 21, 22], "through": [10, 38, 40, 46, 47, 51, 52], "ticket": 8, "time": [10, 22, 58], "timelin": 24, "todo": 59, "tomd": 16, "tool": [23, 27, 52, 53], "tox": 24, "trace": 15, "transcrib": 16, "transport": 51, "troubleshoot": [10, 24, 65], "truth": 1, "try": 24, "tsc": 58, "tutori": [19, 23, 66], "type": 24, "uncheck": 1, "unconfirm": 1, "uninstal": [30, 65], "unit": 22, "unrespons": 58, "up": [14, 26, 32, 66], "upgrad": [53, 65], "upload": 9, "upstream": 53, "us": [10, 15, 20, 33, 58, 70], "usag": [12, 67], "user": [66, 68, 69, 70], "usesnod": 53, "util": 1, "v": 1, "valid": 22, "verifi": 65, "version": [10, 12, 38, 40, 46, 47, 51, 52, 53, 55, 56, 58, 63, 67], "via": 10, "vm": 66, "vocabulari": 58, "warn": 15, "watch": 1, "welcom": 35, "what": [9, 31, 34, 56], "while": 70, "who": 70, "width": 10, "window": 30, "wire": 19, "word": 24, "work": [23, 24, 63], "world": 10, "write": [16, 17, 24], "xml": [0, 3, 54], "yang": [4, 12, 17, 23, 52, 53], "yangtextschemasourc": 53, "you": 24, "your": [9, 14, 22, 24, 60, 65]}})